The University of Southampton

Publications

Cruickshank, D. and Glaser, H. (1999) Direct Manipulation, Scalability and the Internet. Padget, J. A. (ed.) Collaboration between Human and Artificial Societies: Coordination and Agent-Based Distributed Computing, LNAI 1624. pp. 102-112 .

Page, Kevin R., Cruickshank, Don and De Roure, David (2001) It's About Time: Link Streams as Continuous Metadata. The Twelfth ACM Conference on Hypertext and Hypermedia (Hypertext '01). pp. 93-102 .

Beales, Richard, Cruickshank, Don, De Roure, David, Gibbins, Nick, Juby, Ben, Michaelides, Danius T. and Page, Kevin R. (2001) The Pipeline of Enrichment: Supporting Link Creation for Continuous Media. In, Openness, Structural Awareness, and Adaptivity: International Workshops. (Lecture Notes in Computer Science (LNCS), 2266) Hypermedia: Openness, Structural Awareness, and Adaptivity (International Workshops OHS-7, SC-3 and AH-3) (01/08/01) Springer-Verlag, pp. 47-58.

Cruickshank, Don, Roure, David De, Hughes, Gareth, Page, Kevin, Millard, David, Moreau, Luc and Weal, Mark (2001) Using continuous metadata to aid navigation of ontological and temporal information spaces. Reich, Sigi (ed.) Posters and Demonstrations Proceedings of the Twelth ACM Conference on Hypertext and Hypermedia (Hypertext '01). p. 17 .

Cruickshank, Don, Moreau, Luc and Roure, David De (2001) Architectural design of a multi-agent system for handling metadata streams. The fifth ACM International Conference on Autonomous Agents. 505--512 . (doi:10.1145/375735.376429).

De Roure, David C., Cruickshank, Don G., Michaelides, Danius T., Page, Kevin R. and Weal, Mark J. (2002) On Hyperstructure and Musical Structure. The Thirteenth ACM Conference on Hypertext and Hypermedia (Hypertext 2002), Maryland, United States. 10 - 14 Jun 2002. pp. 95-104 .

Moreau, Luc, Zaini, Norliza, Cruickshank, Don and De Roure, David (2003) SoFAR: An Agent Framework for Distributed Information Management. In, Plekhanova, Valentina (ed.) Intelligent Agent Software Engineering. Idea Group Publishing, pp. 49-67. (doi:10.4018/978-1-59140-046-2.ch003).

Thompson, Mark K, Weal, Mark J, Michaelides, Danius T, Cruickshank, Don G and De Roure, David C (2003) MUD Slinging: Virtual Orchestration of Physical Interactions s.n.

Moreua, Luc, Mohamad Zaini, Norliza, Cruickshank, Don and De Roure, David (2003) Intelligent agent software engineering. In, Plekhanova, Valentina (ed.) Intelligent Agent Software Engineering. Idea Group Publishing, pp. 49-67.

Cruickshank, Don and De Roure, David (2004) A Portal for Interacting with Context-Aware Ubiquitous Systems. Indulska, Jadwiga and De Roure, David (eds.) First International Workshop on Advanced Context Modelling, Reasoning And Management, Nottingham, United Kingdom. pp. 96-100 .

Weal, Mark J., Cruickshank, Don G., Michaelides, Danius T., Millard, David E., De Roure, David C., Hornecker, Eva, Halloran, John and Fitzpatrick, Geraldine (2006) A Reusable, Extensible Infrastructure for Augmented Field Trips. PerEL 2006, 2nd International workshop on Pervasive eLearning in conjunction with PerCom 2006, Pisa, Italy. pp. 201-205 .

Halloran, John, Hornecker, Eva, Fitzpatrick, Geraldine, Weal, Mark J., Millard, David E., Michaelides, Danius T., Cruickshank, Don G. and De Roure, David C. (2006) The Literacy Fieldtrip: Using UbiComp to Support Children's Creative Writing. 5th International Conference for Interaction Design and Children, Tampere, Finland. 06 - 08 Jun 2006. pp. 17-24 .

Weal, Mark J., Cruickshank, Don G., Michaelides, Danius T., Millard, David E., De Roure, David C., Halloran, John, Hornecker, Eva and Fitzpatrick, Geraldine (2006) A persistent infrastructure for augmented field trips. World Conference on Educational Multimedia, Hypermedia and Telecommunications, Ed-Media 06, Orlando, Florida, United States.

Weal, Mark J., Hornecker, Eva, Cruickshank, Don G., Michaelides, Danius T., Millard, David E., Halloran, John, De Roure, David C. and Fitzpatrick, Geraldine (2006) Requirements for In-Situ Authoring of Location Based Experiences. 8th ACM International Conference on Human Computer Interaction with Mobile Devices and Services (MobileHCI 06), Espoo, Finland. 11 - 14 Sep 2006. pp. 121-128 .

Hornecker, Eva, Halloran, John, Fitzpatrick, Geraldine, Weal, Mark J., Millard, David E., Michaelides, Danius T., Cruickshank, Don G. and De Roure, David C. (2006) UbiComp in Opportunity Spaces: Challenges for Participatory Design. Participatory Design Conference (PDC '06), Trento, Italy. 30 Jul - 04 Aug 2006. pp. 47-56 .

Halloran, John, Hornecker, Eva, Fitzpatrick, Geraldine, Weal, Mark J., Millard, David E., Michaelides, Danius T., Cruickshank, Don G. and De Roure, David C. (2006) Unfolding understandings: co-designing UbiComp In Situ, over time. Symposium on Designing Interactive Systems archive, in Proceedings of the 6th ACM conference on Designing Interactive systems, University Park, PA, United States. pp. 109-118 .

Weal, Mark J., Cruickshank, Don C., Michaelides, Danius T., Millard, David E., De Roure, David C., Howland, Katherine and Fitzpatrick, Geraldine (2007) Supporting Domain Experts in Creating Pervasive Experiences. Proceedings of the Fifth Annual IEE International Conference on Pervasive Computing and Communications (PERCOM'07), White Plains, NY, United States. 19 - 23 Mar 2007. pp. 108-113 .

Weal, Mark J., Cruickshank, Don C., Michaelides, Danius T., Millard, David E., De Roure, David C., Howland, Katherine and Fitzpatrick, Geraldine (2007) A Card Based Metaphor for Organising Pervasive Educational Experiences. Proceedings of the 3rd IEEE International Workshop on PervasivE Learning, March 19-23. pp. 165-170 .

Goderis, Antoon, De Roure, David, Goble, Carole, Bhagat, Jiten, Cruickshank, Don, Fisher, Paul, Michaelides, Danius and Tanoh, Franck (2008) Discovering Scientific Workflows: The myExperiment Benchmarks s.n. (Submitted)

Lin, Yuwei, Poschen, Meik, Procter, Rob, Voss, Alex, Goble, Carole, Bhagat, Jiten, De Roure, David, Cruickshank, Don and Rouncefield, Mark (2008) Agile Management: Strategies for Developing a Social Networking Site for Scientists. 4th International Conference on e-Social Science, , Manchester, United Kingdom. 17 - 19 Jun 2008.

De Roure, David, Goble, Carole, Bhagat, Jiten, Cruickshank, Don, Goderis, Antoon, Michaelides, Danius and Newman, David (2008) myExperiment: Defining the Social Virtual Research Environment. 4th IEEE International Conference on e-Science, Indianapolis, Indiana, United States. 07 - 12 Dec 2008. pp. 182-189 .

De Roure, David, Goble, Carole, Aleksejevs, Sergejs, Bechhofer, Sean, Bhagat, Jiten, Cruickshank, Don, Michaelides, Danius and Newman, David (2009) The myExperiment Open Repository for Scientific Workflows. Open Repositories 2009, Atlanta, US, Georgia. (Submitted)

De Roure, David, Goble, Carole, Aleksejevs, Sergejs, Bechhofer, Sean, Bhagat, Jiten, Cruickshank, Don, Fisher, Paul, Hull, Duncan, Michaelides, Danius, Newman, David, Procter, Rob, Lin, Yuwei and Poschen, Meik (2009) Towards Open Science: The myExperiment approach. Concurrency and Computation: Practice and Experience. (Submitted)

Goble, Carole, Bhagat, Jiten, Aleksejevs, Sergejs, Cruickshank, Don, Michaelides, Danius, Newman, David, Borkum, Mark, Bechhofer, Sean, Roos, Marco, Li, Peter and De Roure, David (2010) myExperiment: a repository and social network for the sharing of bioinformatics workflows. Nucleic Acids Research. (Submitted)

De Roure, David, Goble, Carole, Aleksejevs, Sergejs, Bechhofer, Sean, Bhagat, Jiten, Cruickshank, Don, Fisher, Paul, Kollara, Nandkumar, Michaelides, Danius, Missier, Paolo, Newman, David, Ramsden, Marcus, Roos, Marco, Wolstencroft, Katy, Zaluska, Ed and Zhao, Jun (2010) The Evolution of myExperiment. Sixth IEEE e–Science conference (e-Science 2010), Brisbane, Australia. (In Press)

Bechhofer, Sean, Ainsworth, John, Bhagat, Jitenkumar, Buchan, Iain, Couch, Phillip, Cruickshank, Don, Delderfield, Mark, Dunlop, Ian, Gamble, Matthew, Goble, Carole, Michaelides, Danius, Missier, Paolo, Owen, Stuart, Newman, David, De Roure, David and Sufi, Shoaib (2010) Why Linked Data is Not Enough for Scientists. Sixth IEEE e–Science conference (e-Science 2010), Brisbane, Australia. (Submitted)

De Roure, David, Hooper, Clare, Meredith-Lobay, Megan, Page, Kevin, Tarte, Segolene, Cruickshank, Don and De Roure, Cahterine (2013) Observing social machines part 1: what to observe? SOCM 2013 Workshop at WWW 2013, Rio de Janeiro, Brazil. 4 pp .

Knight, Nicola, Kanza, Samantha, Cruickshank, Donald, Brocklesby, William and Frey, Jeremy G. (2020) Talk2Lab: The smart lab of the future. IEEE Internet of Things Journal, 7 (9), 8631-8640, [9094640]. (doi:10.1109/JIOT.2020.2995323).

Contact

Share this profile FacebookTwitterWeibo
Telephone:
+442380599109
Email:
t.tiropanis@soton.ac.uk

 

https://www.southampton.ac.uk/~at1o07/

Director of Enterprise for the School of Electronics and Computer Science

Impact Champion for Computer Science

Thanassis is associate professor with the Web and Internet Science Group, Electronics and Computer Science, University of Southampton and is interested in decentralised data architectures, distributed linked data infrastructures, linked data for higher education, social networks and social machines.

Prior to that he was assistant professor with the Athens Information Technology Institute (AIT) in Greece working on Web technologies and e-learning. Prior to AIT, Thanassis was a research fellow with University College London (UCL) where he worked on network and service management research for telecommunication services. He was visiting associate professor at the department of Computer Science at the National University of Singapore in 2017-2019.

He holds a PhD in computer science from UCL, and a DipIng in computer engineering and informatics from the University of Patras, Greece. He is a fellow and a chartered IT professional with the BCS, a senior member of IEEE, a fellow of the Higher Education academy in the UK, a member of the ACM and a member of the Technical Chamber of Greece.

Research

Research interests

Distributed and decentralised infrastructures, IoT analytics, Data (and Web) Observatories, Web Science, Internet science, linked data, open data, peer-to-peer infrastructures, semantic Web, social machine analytics, social machines, online social networking, virtual communities. 

Publications

Christou, Ioannis T., Efremidis, Sofoklis, Tiropanis, Thanassis and Kalis, A. (2007) Grid-based virtual laboratory experiments for a graduate course on sensor networks. IEEE Transactions on Education, 50 (1), 17-26. (doi:10.1109/TE.2006.886447).

Ghinea, Gheorghita, Stergioulas, Lampros, Chen, Sherry Y., Tiropanis, Thanassis and Tsekeridou, Sofia (2007) Editorial. Special issue on: Ubiquitous e-Learning Solutions over Heterogeneous Networks. Personal and Ubiquitous Computing, 11 (7), 505-606. (doi:10.1007/s00779-007-0182-z).

Stergioulas, Lampros, Telonis, Panagiotis, Manolessos, Yiannis, Tiropanis, Thanassis, Pappa, Dimitra, Moatsos, Michalis, Ghinea, Gheorghita, Mamoukaris, Kyriakos and Makropoulos, Constantinos (2004) Broadband access satellite enabled education: the BASE2 project. WSEAS Transactions on Information Science and Applications, 1 (5), 1394-1399.

Tiropanis, Thanassis (2003) Business roles and negotiation models for Web service based provision. Web2003 - The Second Workshop on E-Business, Seattle, USA. 13 - 14 Dec 2003. 7 pp .

Tiropanis, Thanassis and Kanellopoulos, Dimitris (2008) A schema-based P2P network to enable publish-subscribe for multimedia content in open hypermedia systems. International Journal of Web Engineering and Technology, 4 (1), 21-43. (doi:10.1504/IJWET.2008.016103).

Tiropanis, Thanassis, Tsekeridou, Sofia, Serif, Tacha, Stergioulas, Lampros and Ghinea, Gheorghita (2007) Empowering geographically isolated communities with instant access to learning and training through satellite. EDEN 2007 Annual Conference, , Naples, Italy. 12 - 15 Jun 2007. 6 pp .

Lewis, David, Feeney, Kevin, Tiropanis, Thanassis and Courtenage, Simon (2004) An active, ontology-driven network service for Internet collaboration. Ding, Ying, Fensel, Dieter, Lara, Rubén, Lausen, Holger, Stollberg, Michael and Han, Sung-Kook (eds.) In Proceedings of the ECAI 2004 Workshop on Application of Semantic Web Technologies to Web Communities, Valencia, Spain, August 23-27 2004. vol. 107, Sun SITE Central Europe. 15pp .

Sotiriou, Sofoklis, Orphanakis, Michalis, Savas, Stavros, Tsolakidis, Costas, Sotiriou, Menelaos, Tsiopoulos, Evaggelos, Prevedourou, Didoe, Tiropanis, Thanassis, Mpithas, Sotiris, Prevedouros, Georgios, Tavlaki, Elena, Agapiou, George and Nikoyiannis, Anastasios (2004) Zeus: "satellite network of rural schools". In New Challenges and Partnerships in an Enlarged European Union - Open, Distance and e-Learning in Support of Modernisation, Capacity Building and Regional Development. Proceedings of the EDEN 2004 Annual Conference - Budapest, Hungary. European Distance and E-Learning Network. pp. 491-496 .

Telma, Mota, Hellemans, Patrick, Tiropanis, Thanassis, Canal, Gianni and Lago, Patricia (1999) TINA as a virtual market place for telecommunication and information services: the VITAL experiment. In TINA '99 - Telecommunications Information Networking Architecture Conference Proceedings, 1999. Institute of Electrical and Electronics Engineers. pp. 96-106 . (doi:10.1109/TINA.1999.789972).

Tiropanis, Thanassis (1998) Offering Role Mobility in a TINA Environment. In Intelligence in Services and Networks: Technology for Ubiquitous Telecom Services. 5th International Conference on Intelligence in Services and Networks, IS&N’98 Antwerp, Belgium, May 25–28, 1998 Proceedings. vol. 3457/2, Springer. pp. 89-100 .

Lewis, David and Tiropanis, Thanassis (1998) Integrating TINA into an Internet-Based Services Market. In Intelligence in Services and Networks: Technology for Ubiquitous Telecom Services. 5th International Conference on Intelligence in Services and Networks, IS&N’98 Antwerp, Belgium, May 25–28, 1998 Proceedings. vol. 3457/2, Springer. pp. 183-192 .

Cabell, Quincy, Kirstein, Peter T., Pagtzis, Theodore, Tiropanis, Thanassis and Wang, Lichun (1999) The Use of TINA Principles in the Management of Internet Multimedia Conferences. In Intelligence in Services and Networks. Paving the Way for an Open Service Market: 6th International Conference on Intelligence and Services in Networks, IS&N'99, Barcelona, Spain, April 1999. Proceedings. vol. 3457/2, Springer..

Lewis, David, Tiropanis, Thanassis, McEwan, Alistair, Redmond, Cliff, Wade, Vincent P. and Bracht, Ralf (1997) Experiences in Integrated Multi-Domain Service Management. In Proceedings of the IEEE/IFIP TC6/WG6.4/WG6.6 International Conference on Management of Multimedia Networks and Services; IFIP Conference Proceedings; Vol. 112. Chapman and Hall, Ltd. London, UK. pp. 249-260 .

Tiropanis, Thanassis, David, Lewis, Shi, Rong and Richter, Alexander (1997) A service engineering approach to inter-domain TMN system development. In Proceedings of the fifth IFIP/IEEE international symposium on Integrated network management V : integrated management in a virtual world: integrated management in a virtual world. Chapman and Hall, Ltd., London, UK. pp. 165-176 .

Lewis, David, Tiropanis, Thanassis, Shi, Rong and Richter, Alexander (1996) Applying Service Engineering Principles to TMN Systems. In Proceedings of the Telecommunications Information Networking Conference 1996, TINA '96, Heidelberg, Germany, October 1996. VDE-Verlag GmbH, Berlin. pp. 265-266 .

Lewis, David, Tiropanis, Thanassis, Bjerring, Lennart H. and Hall, Jane (1995) Experiences in Multi-domain Management Service Development. In, Bringing Telecommunication Services to the People — IS&N '95; Lecture Notes in Computer Science; Vol. 998. (Autonomic Communication, 3457/2) Springer, pp. 172-184.

Lewis, David and Tiropanis, Thanassis (1995) Multi-service management in a multi-provider environment. In, Telecommunications, 1995. Fifth IEE Conference on. Institution of Engineering and Technology, pp. 292-296.

Lewis, David, Tiropanis, Thanassis, McEwan, Alistair, Redmond, Cliff, Wade, Vincent and Bracht, Ralf (1997) Inter-Domain Integration of Services and Service Management. In, Intelligence in Services and Networks: Technology for Cooperative Competition. (Autonomic Communication, 3457/2) Springer, pp. 283-291.

Tiropanis, Thanassis (2007) Trends for community-aware learning services over wireless infrastructure by the example of Greece. International Conference on “Information and Communication Technologies of e-Learning” by KAZRENA (Kazakhstani Research and Educational Networking Association), Almaty, Kazakhstan. 04 - 05 Oct 2007.

Tiropanis, Thanassis, Malbon, Chris and Karp, Hervé (1998) A generic component for managing service roles s.n. (In Press)

Tiropanis, Thanassis (2001) Automating SLA negotiation: a policy-based approach. Tele Management World Conference, Nice, France.

Tiropanis, Thanassis (2001) Using a generic policy-based infrastructure for implementing business processes. Tele Management World Conference, Las Vegas, United States.

Christou, Ioannis T., Tiropanis, Thanassis, Tsekeridou, Sofia and Roussos, Konstantinos (2008) Grid-Based Interactive Virtual Scientific Experiments for Distributed Virtual Communities. In, The Learning Grid Handbook. IOS Press.

Tiropanis, Thanassis (2001) Architectural considerations for managing mobility. University College London, Computer Science, Doctoral Thesis.

Tsekeridou, Sofia, Tiropanis, Thanassis, Christou, Ioannis and Vakilzadeh, Haleh (2008) Toward Virtual Campuses: Collaborative Virtual Labs & Personalized Learning Services in a Real-Life Context. In Proceedings of the Seventh IASTED International Conference on Web-based Education 2008. ACTA Press..

Serif, Tacha, Ghinea, Gheorghita, Stergioulas, Lampros, Chen, Sherry Y., Tiropanis, Thanassis and Tsekeridou, Sofia (2009) Satellite-based delivery of educational content to geographically isolated communities: a service based approach. Personal and Ubiquitous Computing, 13 (3), 229-241. (doi:10.1007/s00779-007-0185-9).

Tsekeridou, Sofia, Tiropanis, Thanassis, Rorris, Dimitris, Constantinos, Makropoulos, Serif, Tacha and Stergioulas, Lampros (2008) Satellite-enabled educational services specification and requirements analysis based on user feedback. International Journal of Knowledge and Learning, 4 (2/3), 272-284. (Submitted)

Tiropanis, Thanassis, Davis, Hugh, Millard, David and Weal, Mark (2009) Semantic Technologies for Learning and Teaching in the Web 2.0 era - A survey. WebSci'09: Society On-Line, , Athens, Greece. 18 - 20 Mar 2009.

Tiropanis, Thanassis (2005) Advanced learning management systems for distance education. In Broadband and satellite communications in schools: Challenges and opportunities for the educational community in rural areas; Proceedings of the First Conference of the Network of Multigrade Education (NEMED). NEMED Consortium. pp. 45-50 .

Kyriacou, Demetris, Davis, Hugh and Tiropanis, Thanassis (2009) Evaluating Three Scrutability and Three Privacy User Privileges for a Scrutable User Modelling Infrastructure. First and Seventeenth International Conference on User Modeling, Adaptation, and Personalization (UMAP2009), Trento, Italy. 21 - 25 Jun 2009.

Tiropanis, Thanassis, Davis, Hugh, Millard, David, Weal, Mark, White, Su and Wills, Gary (2009) Semantic Technologies in Learning and Teaching (SemTech) - JISC Report.

Kyriacou E, Demetris, Davis, Hugh and Tiropanis, Thanassis (2009) A (multi'domain'sional) Scrutable User Modelling Infrastructure for Enriching Lifelong User Modelling. Lifelong User Modelling Workshop (in conjunction with conference UMAP 2009), Trento, Italy.

Tiropanis, Thanassis, Davis, Hugh, Millard, David, Weal, Mark and White, Su (2009) Linked Data as a Foundation for the Deployment of Semantic Applications in Higher Education. SWEL'09: Ontologies and Social Semantic Web for Intelligent Educational Systems, AIED'09 Conference, Brighton, United Kingdom.

Tiropanis, Thanassis, Davis, Hugh, Millard, David, Weal, Mark and White, Su (2009) A roadmap for semantic technology adoption in UK higher education. Association for Learning Technology (ALT-C 2009), 16th International Conference, 8-10 September 2009, Manchester, UK, University of Manchester. 07 - 09 Sep 2009. p. 26 .

Tiropanis, Thanassis and Dimitriou, Tassos (2009) Use of ID-Based Cryptography for the Efficient Verification of the Integrity and Authenticity of Web Resources. In, Security and Privacy in Communication Networks-5th International ICST Conference, SecureComm 2009, Athens, Greece, September 14-18, 2009, Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering. Springer.

Tiropanis, Thanassis (2006) Notes on Semantic Web Services (Greek language). (In Press)

Alowisheq, Areeb, Millard, David and Tiropanis, Thanassis (2009) EXPRESS: EXPressing REstful Semantic Services using domain ontologies. 8th International Semantic Web Conference (ISWC 2009), Doctoral Consortium, Chantilly, United States. 24 - 29 Oct 2009. pp. 941-948 .

Tiropanis, Thanassis, Davis, Hugh, Millard, Dave and Weal, Mark (2009) Semantic technologies for learning and teaching in the Web 2.0 era. IEEE Intelligent Systems, 24 (6), 49-53. (doi:10.1109/MIS.2009.121).

Rebenich, Till, Gravell, Andrew and Tiropanis, Thanassis (2010) Motivating University Students Using a Location-Aware Time Management System with Social Networking Features. World Conference on Educational Multimedia, Hypermedia & Telecommunications 2010, Toronto, Canada. 27 Jun - 01 Jul 2010.

White, Su, MacNeill, Sheila, Tiropanis, Thanassis and Davis, Hugh (2009) Semantic technologies in education: exploring the practitioners’ perspective. Association for Learning Technology (ALT-C 2009), 16th International Conference, 8-10 September 2009, Manchester, UK, University of Manchester. 07 - 09 Sep 2009. p. 47 .

Rebenich, Till, Gravell, Andrew and Tiropanis, Thanassis (2010) Survey of Students' Technology Use for Time Management. World Conference on Educational Multimedia, Hypermedia & Telecommunications 2010, Toronto, Canada. 27 Jun - 01 Jul 2010.

Sarker, Farhana, Davis, Hugh and Tiropanis, Thanassis (2010) The role of institutional repositories in addressing higher education challenges. SemHE '10: The Second International Workshop on Semantic Web Applications in Higher Education, University of Southampton, Southampton, United Kingdom.

Sarker, Farhana, Davis, Hugh and Tiropanis, Thanassis (2010) A review of Higher Education challenges and data infrastructure responses. International Conference for Education Research and Innovation (ICERI2010), , Madrid, Spain. 15 - 17 Nov 2010. 10 pp .

Christou, Ioannis, Tiropanis, Thanassis, Tsekeridou, Sofia and Roussos, Konstantinos (2010) Grid-based interactive virtual scientific experiments for distributed virtual communities. International Journal of Continuing Engineering Education and Life-Long Learning, 20 (6), 443-464.

Cerri, Stefano, Davis, Hugh, Tiropanis, Thanassis, Weal, Mark and White, Su (2012) Web science. In, Seel, Norbert M. (ed.) Encyclopedia of the Sciences of Learning. (Springer Reference, 1) New York, US. Springer.

Tiropanis, Thanassis, Davis, Hugh and Cerri, Stefano (2012) Semantic technologies and learning. In, Seel, Norbert (ed.) Encyclopedia of the Sciences of Learning. New York, US. Springer.

Rebenich, Till, Gravell, Andrew and Tiropanis, Thanassis (2011) Evaluating a Web-Based Information System for Managing Master of Science Summer Projects. ITiCSE 2011, 16th Annual Conference on Innovation and Technology in Computer Science Education, Darmstadt, Germany. 26 - 28 Jun 2011. pp. 123-127 .

White, Su, Croitoru, Madalina, Bazan, Stéphane, Cerri, Stefano, Davis, Hugh C, Folgieri, Raffaella, Jonquet, Clement, Scharffe, François, Staab, Steffen, Tiropanis, Thanassis and Vafopoulos, Michalis (2011) Negotiating the Web Science Curriculum through Shared Educational Artefacts. ACM WebSci '11, , Koblenz, Germany. 13 - 16 Jun 2011.

Aljohani, Naif, Davis, Hugh and Tiropanis, Thanassis (2011) HCI as a Differentiator Between Mobile and Ubiquitous Learning. Fifth International Conference on Next Generation Mobile Applications, Services and Technologies (NGMAST), Cardiff, United Kingdom. 13 - 15 Sep 2011. (Submitted)

Alowisheq, Areeb, Millard, David and Tiropanis, Thanassis (2011) Resource Oriented Modelling: Describing Restful Web Services Using Collaboration Diagrams. The 8th International Joint Conference on e-Business and Telecommunications, Seville, Spain. 17 - 20 Jul 2011.

Leedham, James and Tiropanis, Thanassis (2011) Opportunistic Social Networks for Academia. 1st International Workshop on Mobile and Online Social Networks (MOSN 2011), co-located with the 5th International Conference on Network and System Security (NSS 2011), Milan, Italy. 05 - 07 Sep 2011.

Wang, Xin, Tiropanis, Thanassis and Davis, Hugh (2011) Evaluating graph traversal algorithms for distributed SPARQL query optimization. Joint International Semantic Technology Conference (JIST 2011), Hangzhou, China. 04 - 07 Dec 2011. 16 pp .

Aljohani, Naif, Davis, Hugh and Tiropanis, Thanassis (2011) Comparison Between Mobile and Ubiquitous Learning From The Prospective Of Human-Computer Interaction. 10th World Conference on Mobile and Contextual Learning- mLearn 2011, Beijing, China. 17 - 20 Oct 2011.

Alowisheq, Areeb, Millard, David and Tiropanis, Thanassis (2011) Web Service Scenarios s.n. (In Press)

Ruiz-Calleja, Adolfo, Tiropanis, Thanassis, Vega-Gorgojo, Guillermo and Asensio-Pérez, Juan I. (2012) Exploiting the Web of Data to provide descriptions of ICT tools: a preliminary report about SEEK-AT-WD. LiLe-2012 at WWW-2012: 2nd International Workshop on Learning and Education with the Web of Data, Lyon, France. 16 Apr 2012.

Ruiz-Calleja, Adolfo, Vega-Gorgojo, Guillermo, Alowisheq, Areeb, Asensio-Pérez, Juan Ignacio and Tiropanis, Thanassis (2012) Supporting educators to discover and select ICT tools with SEEK-AT-WD. In Proceedings of EC-TEL 2012: Seventh European Conference on Technology Enhanced Learning. 21st Century Learning for 21st Century Skills. Springer.. (In Press)

Tiropanis, Thanassis, Millard, David and Davis, Hugh C. (2012) Guest editorial: special section on semantic technologies for learning and teaching support in Higher Education. IEEE Transactions on Learning Technologies, 5 (2), 102-103. (doi:10.1109/TLT.2012.13).

Phethean, Christopher, Tiropanis, Thanassis and Harris, Lisa (2012) Measuring the performance of social media marketing in the charitable domain. ACM Web Science 2012 (WebSci 2012), , Evanston, United States. 22 - 24 Jun 2012. 6 pp .

Hall, Wendy, Shadbolt, Nigel, Tiropanis, Thanassis, O'Hara, Kieron and Davies, Tim (2012) Open data and charities (Nominet Trust State of the Art Reviews) Oxford, GB. Nominet Trust 87pp.

Gaskell, Paul, McGroarty, Frank and Tiropanis, Thanassis (2016) Signal diffusion mapping: optimal forecasting with time varying lags. Journal of Forecasting, 35 (1), 70-85. (doi:10.1002/for.2365).

Yip, Michael, Shadbolt, Nigel, Tiropanis, Thanassis and Webber, Craig (2012) The digital underground economy: a social network approach to understanding cybercrime. Digital Futures 2012: The Third Annual Digital Economy All Hands Conference, , Aberdeen, United Kingdom. 23 - 25 Oct 2012. 3 pp .

Allison, Colin, Miller, Allan, Oliver, Iain, Michaelson, Rosa and Tiropanis, Thanassis (2012) The Web in Education. Computer Networks, 56 (18), 3811-3824. (doi:10.1016/j.comnet.2012.09.017).

Hall, Wendy and Tiropanis, Thanassis (2012) Web evolution and Web Science. [in special issue: The WEB we live in] Computer Networks, 56 (18), 3859-3865. (doi:10.1016/j.comnet.2012.10.004).

Phethean, Christopher, Tiropanis, Thanassis and Harris, Lisa (2012) Measuring the megaphone: how are charities using social media for marketing? Digital Futures 2012 - The 3rd Annual Digital Economy All Hands Conference, , Aberdeen, United Kingdom. 23 - 25 Oct 2012. 2 pp .

Muhammad, Imran, David, Millard and Tiropanis, Thanassis (2012) Impact of consolidating Web based social networks on derived trust factors. Human Journal.

Jones, Keith, Geraniou, Erini and Tiropanis, Thanassis (2013) Patterns of collaboration: towards learning mathematics in the era of the semantic web. In, Martinovic, Dragana, Freiman, Viktor and Karadag, Zekeriya (eds.) Visual Mathematics and Cyberlearning. (Mathematics Education in the Digital Era, 1) London, GB. Springer, pp. 1-21. (doi:10.1007/978-94-007-2321-4_1).

Khan, Arshad, Martin, David J. and Tiropanis, Thanassis (2013) Using semantic indexing to improve searching performance in web archives. The First International Conference on Building and Exploring Web Based Environments (WEB2013), Sevilla, Spain. 27 Jan - 01 Feb 2013.

Wang, Xin, Tiropanis, Thanassis and Davis, Hugh C. (2013) LHD: optimising linked data query processing using parallelisation. Linked Data on the Web (LDOW2013), Rio de Janeiro, Brazil. 13 May 2013.

Dini, Paolo and Tiropanis, Thanassis (2013) The evolving dynamics of the internet layered architecture - innovation, net neutrality and the interdependence of structure and function. The 1st International Conference on Internet Science. 08 - 10 Apr 2013.

Byrne Evans, Maire, O'Hara, Kieron, Tiropanis, Thanassis and Webber, Craig (2013) Crime applications and social machines: crowdsourcing sensitive data. SOCIAM: The Theory and Practice of Social Machines, , Rio de Janeiro, Brazil.

Hall, Wendy, Tiropanis, Thanassis, Tinati, Ramine, Booth, Paul, Gaskell, Paul, Hare, Jonathon and Carr, Les (2013) The Southampton University Web Observatory. 1st International workshop on Building Web Observatories, ACM Web Science 2013, 1-3 May 2013.

Phethean, Christopher, Tiropanis, Thanassis and Harris, Lisa (2013) Rethinking measurements of social media use by charities: a mixed methods approach. Web Science 2013, , Paris, France. 02 - 04 May 2013.

Sarker, Farhana, Tiropanis, Thanassis and Davis, Hugh C. (2013) Exploring student predictive model that relies on institutional databases and open data instead of traditional questionnaires. Linked Learning 2013: 3rd International Workshop on Learning and Education with the Web of Data (LILE2013), , Rio de Janeiro, Brazil. 12 - 16 May 2013. pp. 413-418 .

Sarker, Farhana, Tiropanis, Thanassis and Davis, Hugh C. (2013) Students’ performance prediction by using institutional internal and external open data sources. CSEDU 2013 : 5th International Conference on Computer Supported Education, , Aachen, Germany. 05 - 07 May 2013. 8 pp .

Tiropanis, Thanassis, Hall, Wendy, Shadbolt, Nigel, De Roure, David, Contractor, Noshir and Hendler, Jim (2013) The Web Science Observatory. IEEE Intelligent Systems, 28 (2), 100-104.

Gaskell, Paul, McGroarty, Frank and Tiropanis, Thanassis (2013) An investigation into correlations between financial sentiment and prices in financial markets. In WebSci '13 Proceedings of the 5th Annual ACM Web Science Conference. ACM Press. pp. 99-108 . (doi:10.1145/2464464.2464510).

Tinati, Ramine, Tiropanis, Thanassis and Carr, Leslie (2013) An approach for using Wikipedia to measure the flow of trends across countries. Web Observatories Workshop (WOW), WWW2013: 22nd International World Wide Web conference, 2013, , Rio de Janeiro, Brazil. 12 - 16 May 2013.

Phethean, Christopher, Tiropanis, Thanassis and Harris, Lisa (2013) Automated analysis of charities’ communication styles on Twitter. DE2013: Open Digital, , Salford, United Kingdom. 04 - 06 Nov 2013.

Hall, Wendy, Tiropanis, Thanassis, Tinati, Ramine, Wang, Xin, Luczak-Rösch, Markus and Simperl, Elena (2014) The Web Science Observatory - the challenges of analytics over distributed linked data infrastructures. ECRIM News, (96), 29-30.

Wang, Xin, Tiropanis, Thanassis and Davis, Hugh C. (2014) Optimising linked data queries in the presence of co-reference. 11th Extended Semantic Web Conference 2014 (ESWC 2014), Anissaras, Greece. 24 - 28 May 2014. 15 pp .

Tinati, Ramine, Gaskell, Paul, Tiropanis, Thanassis, Phillipe, Olivier and Hall, Wendy (2014) Examining Wikipedia across linguistic and temporal borders. In WWW '14 Companion: Proceedings of the 23rd International Conference on World Wide Web. ACM Press. pp. 445-450 . (doi:10.1145/2567948.2576931).

Tiropanis, Thanassis, Rowland-Campbell, Anni and Hall, Wendy (2014) Government as a social machine in an ecosystem. SOCM 2014: 2nd International Workshop on the Theory and Practice of Social Machines. 06 Apr 2014. pp. 903-904 . (doi:10.1145/2567948.2578837).

Zhang, Lei, Tiropanis, Thanassis, Hall, Wendy and Myaeng, Sung-Hyon (2014) Introducing the Omega-machine. SOCM 2014: 2nd International Workshop on the Theory and Practice of Social Machines. 06 Apr 2014. pp. 905-908 . (doi:10.1145/2567948.2578838).

Tiropanis, Thanassis, Wang, Xin, Tinati, Ramine and Hall, Wendy (2014) Building a connected Web Observatory: architecture and challenges. 2nd International Workshop on Building Web Observatories (B-WOW14), ACM Web Science Conference 2014, , Bloomington, United States. 22 - 25 Jun 2014. 10 pp .

Phethean, Christopher, Tiropanis, Thanassis and Harris, Lisa (2014) Taking the relationship to the next level: a comparison of how supporters converse with charities on Facebook and Twitter. ACM Web Science Conference 2014 (WebSci14), , Bloomington, United States. 23 - 26 Jun 2014. pp. 271-272 . (doi:10.1145/2615569.2615648).

Tiropanis, Thanassis, Hall, Wendy, Hendler, Jim and de Larrinaga, Christian (2014) The Web Observatory: a middle layer for broad data. Big Data, 2 (3), 129-133. (doi:10.1089/big.2014.0035).

Guillermo, Vega-Gorgojo, Tiropanis, Thanassis and Millard, David E. (2016) The opportunity of linked data for the european higher education Area. International Journal of Information and Education Technology, 6 (1), 58-64. (doi:10.7763/IJIET.2016.V6.659).

Sarker, Farhana, Tiropanis, Thanassis and Davis, Hugh C. (2014) Linked data, data mining and external open data for better prediction of at-risk students. 2nd International Conference on Control, Decision and Information Technologies (CoDIT) 2014, , Metz, France. 03 - 05 Nov 2014. pp. 652-657 . (doi:10.1109/CoDIT.2014.6996973).

Wang, Xin, Papaioannou, Thanasis G., Tiropanis, Thanassis and Morando, Federico (2015) EINS Evidence Base: A Semantic Catalogue for Internet Experimentation and Measurement. 2nd International Conference on Internet Science.

Tiropanis, Thanassis, Hall, Wendy, Crowcroft, Jon, Contractor, Noshir and Tassiulas, Leandros (2015) Network Science, Web Science, and Internet Science. Communications of the ACM, 58 (8), 76-82. (doi:10.1145/2699416).

Phethean, Christopher, Tiropanis, Thanassis and Harris, Lisa (2015) Engaging with charities on social media: comparing interaction on Facebook and Twitter. Tiropanis, Thanassis, Vakali, Athena, Sartori, Laura and Burnap, Pete (eds.) In Internet Science: Second International Conference, INSCI 2015, Brussels, Belgium, May 27-29, 2015, Proceedings. Springer International Publishing. pp. 15-29 . (doi:10.1007/978-3-319-18609-2_2).

Tinati, Ramine, Wang, Xin, Brown, Ian, Tiropanis, Thanassis and Hall, Wendy (2015) A streaming real-time web observatory architecture for monitoring the health of social machines. Social Machines Workshop (SOCM) 2015. World Wide Web Conference, Florence, Italy. 17 - 21 May 2015. 6 pp . (doi:10.1145/2740908.2743977).

Leanne, Fry, Hall, Wendy, Koronios, Andy, Mayer, Wolfgang, O'Hara, Kieron, Rowland-Campbell, Anni, Stumptner, Markus, Tinati, Ramine, Tiropanis, Thanassis and Wang, Xin (2015) Governance in the age of social machines: the web observatory. The Australia and New Zealand School of Government, 1-34.

Wang, Xin, Tinati, Ramine, Mayer, Wolfgang, Rowland-Campbell, Anni, Tiropanis, Thanassis, Brown, Ian, Hall, Wendy, O’Hara, Kieron, Stumptner, Markus and Koronios, Andy (2015) Building a web observatory for south Australian government: supporting an age friendly population. 3rd International workshop on Building Web Observatories (BWOW). 10 pp .

Phethean, Christopher, Tiropanis, Thanassis and Harris, Lisa (2015) Assessing the value of social media for organisations: the case for charitable use. 2015 ACM Web Science Conference (WebSci'15), , Oxford, United Kingdom. 28 Jun - 01 Jul 2015. 9 pp . (doi:10.1145/2786451.2786457).

Tinati, Ramine, Wang, Xin, Tiropanis, Thanassis and Hall, Wendy (2015) Building a real-time web observatory. IEEE Internet Computing, 19 (6), 36-45. (doi:10.1109/MIC.2015.94).

Wang, Xin, Staab, Steffen and Tiropanis, Thanassis (2016) ASPG: generating OLAP queries for SPARQL benchmarking. Joint International Semantic Technology Conference (JIST2016), Singapore, Singapore. 02 - 04 Nov 2016. 15 pp . (doi:10.1007/978-3-319-50112-3_13).

Hewitt, Sarah, Tiropanis, Thanassis and Bokhove, Christian (2016) The problem of identifying misogynist language on Twitter (and other online social spaces). Web Science 2016 (WebSci ’16), , Hannover, Germany. 22 - 25 May 2016.

Phethean, Christopher, Simperl, Elena, Tiropanis, Thanassis, Tinati, Ramine and Hall, Wendy (2016) The role of data science in web science. IEEE Intelligent Systems, 31 (3), 102-107. (doi:10.1109/MIS.2016.54).

Lawrence, William, Sung, Ming-Chien, Ma, Tiejun, Johnson, Johnnie and Tiropanis, Thanassis (2016) The role of mobile app use in the decision making behavior of spread traders. International Conference on Advanced Computing, Communication and Information Sciences (ICACCI), Cebu City, Philippines. 26 - 28 May 2016.

Siow, Eugene, Tiropanis, Thanassis and Hall, Wendy (2016) Interoperable & efficient: linked data for the internet of things. INSCI 2016, 3rd International conference on Internet Science, Florence, Italy. 11 - 13 Sep 2016. pp. 161-175 . (doi:10.1007/978-3-319-45982-0_15).

Siow, Eugene, Tiropanis, Thanassis and Hall, Wendy (2016) SPARQL-to-SQL on internet of things databases and streams. Groth, Paul, Simperl, Elena, Gray, Alasdair, Sabou, Marta, Krötzsch, Markus, Lecue, Freddy, Flöck, Fabian and Gil, Yoalnda (eds.) In The Semantic Web – ISWC 2016: 15th International Semantic Web Conference, Kobe, Japan, October 17–21, 2016, Proceedings, Part I. vol. 9981, Springer. pp. 515-531 . (doi:10.1007/978-3-319-46523-4_31).

Beeston, Gareth, Tiropanis, Thanassis and Harris, Lisa et al. (2015) Establishing expert consensuses on the value of open data in open social innovation ideation. The World Open Innovation Conference, 1-34.

Wang, Xin, Tiropanis, Thanassis and Tinati, Ramine (2016) WDFed: exploiting big data on the Web using metadata and RESTful APIs. MTSR2016: 10th Metadata and Semantics Research Conference, Gottingen, Germany. 22 - 25 Nov 2016.

Siow, Eugene, Tiropanis, Thanassis and Hall, Wendy (2016) PIOTRe: Personal Internet of Things Repository. International Semantic Web Conference Posters & Demos, Kobe, Japan. 16 - 20 Oct 2016. 4 pp . (In Press)

Khan, Arshad, Tiropanis, Thanassis and Martin, David (2015) Exploiting semantic annotation of content with Linked Data to improve searching performance in web repositories. 9th Russian Summer School in Information Retrieval (RuSSIR 2015), Saint Petersburg, Russian Federation. 23 - 27 Aug 2015.

Khan, Arshad, Tiropanis, Thanassis and Martin, David (2016) Exploiting semantic annotation of content with linked open data (LOD) to improve searching performance in web repositories of multi-disciplinary research data. 9th Russian Summer School, RuSSIR 2015, Saint Petersburg, Russian Federation. 23 - 27 Aug 2015. pp. 130-145 . (doi:10.1007/978-3-319-41718-9_7).

Madaan, Aastha, Tiropanis, Thanassis, Srinivasa, Srinath and Hall, Wendy (2016) Observlets: empowering analytical observations on web observatory. Proceedings of the 25th International Conference Companion on World Wide Web, Montreal, Canada. 10 - 14 Apr 2016. pp. 775-780 . (doi:10.1145/2872518.2890593).

Khan, Arshad, Tiropanis, Thanassis and Martin, David (2017) Crowd-annotation and LoD-based semantic indexing of content in multi-disciplinary web repositories to improve search results. In ACSW '17 Proceedings of the Australasian Computer Science Week Multiconference. ACM Press. 12 pp . (doi:10.1145/3014812.3014867).

Wang, Xin, Madaan, Aastha, Siow, Boon Lin Eugene and Tiropanis, Athanassios (2017) Sharing databases on the Web with Porter Proxy. Proceedings of the 26th International Conference on World Wide Web Companion (WWW '17 Companion): WOW17: Workshop on Web Observatories, Social Machines and Decentralisation, , Perth, Australia. 03 - 07 Apr 2017. pp. 1673-1676 .

Siow, Boon Lin Eugene, Tiropanis, Athanassios and Hall, Wendy (2017) Ewya: an interoperable fog computing infrastructure with RDF stream processing. Kompatsiaris, I. (ed.) In Internet Science. INSCI 2017. vol. 10673, Springer. pp. 245-265 . (doi:10.1007/978-3-319-70284-1_20).

Alrajebah, Nora, Tiropanis, Thanassis and Carr, Leslie (2017) Cascades on online social networks: a chronological account. Kompatsiaris, I. (ed.) In Internet Science. INSCI 2017. Springer. pp. 393-411 . (doi:10.1007/978-3-319-70284-1_31).

Munson, Jo, Tiropanis, Thanassis and Lowe, Michelle (2017) Online grocery shopping: Identifying change in consumption practices. INSCI 2017, The 4th International Conference on Internet Science, , Thessaloniki, Greece. 22 - 24 Nov 2017.

Alrajebah, Nora, Carr, Leslie, Luczak-Roesch, Markus and Tiropanis, Thanassis (2017) Deconstructing diffusion on Tumblr: structural and temporal aspects. In WebSci '17 Proceedings of the 2017 ACM on Web Science Conference. ACM Press. pp. 319-328 . (doi:10.1145/3091478.3091491).

Munson, Jo, Tiropanis, Thanassis and Lowe, Michelle (2017) Online grocery shopping: Identifying change in consumption practices. Kompatsiaris, I. (ed.) In Internet Science. INSCI 2017. vol. 10673, Springer. pp. 192-211 . (doi:10.1007/978-3-319-70284-1_16).

Madaan, Aastha, Wang, Xin, Hall, Wendy and Tiropanis, Thanassis (2018) Observing data in IoT worlds: What and how to observe? In Living in the Internet of Things: Cybersecurity of the IoT - A PETRAS, IoTUK and IET Event. Institute of Engineering and Technology, IET. 7 pp . (In Press)

Siow, Eugene, Tiropanis, Thanassis and Hall, Wendy (2018) Analytics for the Internet of Things: A survey. ACM Computing Surveys, 51 (4), [74]. (doi:10.1145/3204947).

Shen, Tiancheng, Jia, Jia, Shen, Guangyao, Feng, Fuli, He, Xiangnan, Luan, Huanbo, Tang, Jie, Tiropanis, Thanassis, Chua, Tat Seng and Hall, Wendy (2018) Cross-domain depression detection via harvesting social media. In Proceedings of the 27th International Joint Conference on Artificial Intelligence, IJCAI 2018. vol. 2018-July, International Joint Conferences on Artificial Intelligence. pp. 1611-1617 . (doi:10.24963/ijcai.2018/223).

Greco, Luca, Ritrovato, Pierluigi, Tiropanis, Thanassis and Xhafa, Fatos (2018) IoT and Semantic Web technologies for event-detection in natural disasters. Concurrency and Computation: Practice & Experience, 1-12, [e4789]. (doi:10.1002/cpe.4789).

Wilson, Caroline L, Tiropanis, Thanassis, Rowland-Campbell, Anni and Leanne, Fry (2016) Ethical and legal support for innovation on web observatories. In Proceedings of the Workshop on Data-Driven Innovation on the Web (DDI '16). ACM Press. pp. 1-5 . (doi:10.1145/2911187.2914579).

Alrajebah, Nora, Carr, Leslie and Tiropanis, Thanassis (2018) The platform effect: Analysing user activity on tumblr. Bodrunova, S. (ed.) In Internet Science: INSCI 2018. vol. 11193 LNCS, Springer. pp. 154-168 . (doi:10.1007/978-3-030-01437-7_13).

Price, Simon, Hall, Wendy, Earl, Graeme, Tiropanis, Thanassis, Tinati, Ramine, Wang, Xin, Gandolfi, Eleonora, Gatewood, Jane, Boateng, Richard, Denemark, David, Groflin, Alexander, Loader, Brian, Schmidt, Maxine, Billings, Marilyn, Spanakis, Gerasimos, Suleman, Hussein, Tsoi, Kelvin, Wessels, Bridgette, Xu, Jie and Birkin, Mark (2019) Worldwide universities network (WUN) web observatory: applying lessons from the web to transform the research data ecosystem. In WWW '17 Companion: Proceedings of the 26th International Conference on World Wide Web Companion. International World Wide Web Conferences Steering Committee. pp. 1665-1667 . (doi:10.1145/3041021.3051691).

Tiropanis, Thanassis (2019) Data observatories: decentralised data and interdisciplinary research. In Internet y Ciencia: Análisis Desde la Complejidad Estructural y Dinámica. 10 pp .

Ntoutsi, Eirini, Fafalios, Pavlos, Gadiraju, Ujwal, Iosifidis, Vasileios, Nejdl, Wolfgang, Vidal, Maria-Esther, Ruggieri, Salvatore, Turini, Franco, Papadopoulos, Symeon, Krasanakis, Emmanouil, Kompatsiaris, Ioannis, Kinder-Kurlanda, Katharina, Wagner, Claudia, Karimi, Fariba, Fernández, Miriam, Alani, Harith, Berendt, Bettina, Krügel, Tina, Heinze, Christian, Broelemann, Klaus, Kasneci, Gjergji, Tiropanis, Thanassis and Staab, Steffen (2020) Bias in data‐driven artificial intelligence systems: An introductory survey. WIREs Data Mining and Knowledge Discovery, 10 (3), 1-14, [e1356]. (doi:10.1002/widm.1356).

Imran, Muhammad, Khattak, Hasan Ali, Millard, David, Tiropanis, Thanassis, Bashir, Tariq and Ahmed, Ghufran (2020) Calculating trust using multiple heterogeneous social networks. Wireless Communications and Mobile Computing Journal, 2020, [8545128]. (doi:10.1155/2020/8545128).

Hasselbring, Wilhelm, Carr, Leslie, Hettrick, Simon, Packer, Heather and Tiropanis, Thanassis (2020) Open source research software. Computer, 53 (8), 84-88. (doi:10.1109/MC.2020.2998235).

Hasselbring, Wilhelm, Carr, Leslie, Hettrick, Simon, Packer, Heather and Tiropanis, Thanassis (2020) From FAIR research data toward FAIR and open research software. it - Information Technology, 62 (1), 39-47. (doi:10.1515/itit-2019-0040).

Priestley, Maria, Sluckin, T.J. and Tiropanis, Thanassis (2020) Innovation on the Web: the end of the S-curve? Internet Histories, 4 (4), 390-412. (doi:10.1080/24701475.2020.1747261).

Priestley, Maria (2020) Longitudinal study of Web-related patents. Code Ocean doi:10.24433/CO.9866432.v1 [Dataset]

Hewitt, Sarah, Tiropanis, Thanassis and Bokhove, Christian (2020) The reception of education reforms through the Blogosphere. In WebSci '20: 12th ACM Conference on Web Science. ACM Press. pp. 194-201 . (doi:10.1145/3394231.3397909).

Oppenlaender, Jonas, Tiropanis, Thanassis and Hosio, Simo (2020) CrowdUI: supporting web design with the crowd. Proceedings of the ACM on Human-Computer Interaction, 4 (EICS), [76]. (doi:10.1145/3394978).

(2020) Longitudinal study of Web-related patents. Code Ocean doi:10.24433/co.9866432.v1 [Dataset]

Tiropanis, Thanassis, Poulovassilis, Alexandra, Chapman, Age and Roussos, George (2021) Search in a Redecentralised Web. In Computer Science Conference Proceedings: 12th International Conference on Internet Engineering & Web Services (InWeS 2021).

Tiropanis, Thanassis (2022) Data observatories: decentralised data and interdisciplinary research. In, Gonzalez, Wenceslao J (ed.) The Internet and Philosophy of Science. Routledge.

Contact

Share this profile FacebookTwitterWeibo

Publications

Bodnar, Rares and Redman-White, William (2011) A 250W/30A fast charger for ultracapacitors with direct mains connection. 2011 20th European Conference on Circuit Theory and Design (ECCTD), Linkoping. 28 - 30 Aug 2011. pp. 813-816 . (doi:10.1109/ECCTD.2011.6043835).

Bodnar, Rares and Redman-White, W. (2013) High-accuracy current memory in HV CMOS technology. IEEE Transactions on Circuits and Systems II: Express Briefs, 60 (6), 321-325. (doi:10.1109/TCSII.2013.2258251).

Bodnar, Rares and Redman-White, W. (2013) An integrated ultracapacitor fast mains charger with combined power/current optimisation. European Solid State Circuits Conference (ESSCIRC). 15 - 19 Sep 2013. (doi:10.1109/ESSCIRC.2013.6649097).

Bodnar, Rares (2014) Fast charging techniques and compact integrated implementations for electrochemical double layer capacitors in portable applications. University of Southampton, Faculty of Physical Sciences and Engineering, Doctoral Thesis, 201pp.

Redman-White, William, Bodnar, Rares and Kennedy, Henry (2017) Continuous tuning of inductive link antennae with zero voltage switched fractional capacitance. In 2016 IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS). (doi:10.1109/MWSCAS.2016.7870059).

Redman-White, William, Kennedy, Henry and Bodnar, Rares (2017) A Self-tuning resonant-inductive-link transmit driver using quadrature symmetric phase switched fractional capacitance. International Solid-State Circuits Conference (ISSCC 2017), San Francisco, United States. 05 - 09 Feb 2017. (doi:10.1109/ISSCC.2017.7870415).

Lee, Teerasak, Kennedy, Henry, Bodnar, Rares and Redman-White, William (2017) A CMOS MF energy harvesting and data demodulator receiver for wide area low duty cycle applications with 250 mV start-up voltage. Analog Integrated Circuits and Signal Processing, 13. (doi:10.1007/s10470-017-1000-9).

Redman-White, William, Kennedy, Henry, Bodnar, Rares and Lee, Teerasak (2017) Adaptive tuning of large-signal resonant circuits using phase-switched fractional capacitance. IEEE Transactions on Circuits and Systems II: Express Briefs, 64 (9), 1072-1076.

Kennedy, Henry, Bodnar, Rares, Lee, Teerasak and Redman-White, William (2018) A self-tuning resonant-inductive-link transmit driver using quadrature symmetric delay trimmable phase-switched fractional capacitance. IEEE Journal of Solid-State Circuits, 53 (6), 1694 - 1706. (doi:10.1109/JSSC.2018.2810206).

Lee, T., Kennedy, H.R.B., Bodnar, R.A. and Redman-White, W. (2018) An MF energy harvesting receiver with slow QPSK control data demodulator for wide area low duty cycle applications. In ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference. IEEE. pp. 278-281 . (doi:10.1109/ESSCIRC.2018.8494311).

Kennedy, Henry, Bodnar, Rares, Lee, Teerasak and Redman-White, William (2019) 28.4 A high-Q resonant inductive link transmit modulator/driver for enhanced power and FSK/PSK data transfer using adaptive-predictive phase-continuous switching fractional-capacitance tuning. In 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019. vol. 2019-February, IEEE. pp. 444-446 . (doi:10.1109/ISSCC.2019.8662329).

Share this profile FacebookTwitterWeibo

Publications

Femminella, O.P., Starink, M.J., Gunn, S.R., Harris, C.J. and Reed, P.A.S. (2000) Neurofuzzy and SUPANOVA modelling of structure-property relationships in Al-Zn-Mg-Cu alloys. In Aluminium Alloys: Their Physical and Mechanical Properties. Trans Tech. pp. 1255-1260 .

Lee, K.K., Harris, C.J., Gunn, S.R. and Reed, P.A.S. (2000) Approaches to classification of imbalanced data - a case study on automotive materials. Sixth Postgraduate conference in Engineering Materials, University of Southampton, Southampton, United Kingdom. 05 Oct 2000. 2 pp .

Lee, K.K., Harris, C.J., Gunn, S.R. and Reed, P.A.S. (2001) Control sensitivity SVM for imbalanced data a case study on automotive material. 5th International Conference on Artificial Neural Networks and Genetic Algorithms (ICANNGA 2001), Prague, Czech Republic. 21 - 24 Apr 2001. 4 pp .

Lee, K.K., Harris, C.J., Gunn, S.R. and Reed, P.A.S. (2001) Classification of imbalanced data with transparent kernels. In IJCNN'01. International Joint Conference on Neural Networks. Proceedings (Cat. No.01CH37222). Institute of Electrical and Electronics Engineers. pp. 2410-2415 . (doi:10.1109/IJCNN.2001.938744).

Brown, M., Lewis, H.G. and Gunn, S.R. (1999) Estimation of sub-pixel land cover using support vector methods. 25th Annual Conference and Exhibition of the Remote Sensing Society (RSS '99): From Data to Information, Cardiff, UK. 07 - 09 Sep 1999.

Brown, M., Lewis, H.G. and Gunn, S.R. (1999) Support vector machines for spectral unmixing. In Proceedings of the IEEE 1999 International Symposium on Geoscience and Remote Sensing Symposium (IGARSS '99). Institute of Electrical and Electronics Engineers. pp. 1363-1365 . (doi:10.1109/IGARSS.1999.774631).

Reed, P.A.S., Starink, M.J., Gunn, S.R. and Sinclair, I. (2009) Invited review: Adaptive numerical modelling and hybrid physically based ANM approaches in materials engineering - a survey. Materials Science and Technology, 25 (4), 488-503. (doi:10.1179/174328409X411727).

Brackstone, M.A. and Gunn, S. (1988) Quantum disordered spin models/bose condensation. Ando, T. and Fukuyama, H. (eds.) In Anderson Localization. Proceedings of the International Symposium, Tokyo, Japan, August 16-18, 1987. Springer. pp. 130-133 .

Mohammad, M., Moore, E., Carter, J.N., Shadle, C.H. and Gunn, S.R. (1997) Using MRI to Image the Moving Vocal Tract during Speech. Eurospeech '97. 2027--2030 .

Brown, M., Gunn, S.R., Ng, C.Y. and Harris, C.J. (1997) Neurofuzzy Systems Modelling: A Transparent Approach. In, Warwick, K. (ed.) Dealing with Complexity: A Neural Network Approach. Dealing with Complexity: A Neural Network Approach (01/01/97) Springer-Verlag.

Gunn, S.R. and Nixon, M.S. (1997) A Robust Snake Implementation: A Dual Active Contour. IEEE Trans. on Pattern Analysis and Machine Intelligence, 19 (1), 63--68.

Gunn, S.R., Brown, M. and Bossley, K.M. (1997) Network Performance Assessment for Neurofuzzy Data Modelling. Liu, X., Cohen, P. and Berthold, M. (eds.) Lecture Notes in Computer Science. 313--323 .

Gunn, S.R. and Nixon, M.S. (1996) Snake Head Boundary Extraction using Local and Global Energy Minimisation. IEEE Int. Conf. on Pattern Recognition, Vienna, Austria. pp. 581-585 .

Gunn, S.R. (1996) Dual active contour models for image feature extraction. University of Southampton, Electronics and Computer Science : University of Southampton, Doctoral Thesis.

Gunn, S.R. and Nixon, M.S. (1994) A dual active contour including parametric shape Southampton. University of Southampton

Gunn, S.R. and Nixon, M.S. (1995) A Dual Active Contour for Improved Snake Performance s.n.

Gunn, S.R. and Nixon, M.S. (1995) Improving Snake Performance via a Dual Active Contour. Hlavac, V. and Sara, R. (eds.) Computer Analysis of Images and Patterns, Prague, Czech Republic. pp. 600-605 .

Gunn, S.R. and Nixon, M.S. (1994) A Model Based Dual Active Contour. Hancock, E. (ed.) Proc. British Machine Vision Conference. pp. 305-314 .

Gunn, S.R. and Nixon, M.S. (1994) A Dual Active Contour for Head Boundary Extraction. Colloq. on Image Processing for Biometric Measurement. 6/1--6/4 .

Gunn, S.R. and Nixon, M.S. (1994) A Dual Active Contour Incorporating Parametric Shape Description. Holt, M., Cowan, C., Grant, P. and Sandham, W. (eds.) European Signal Processing, Edinburgh, U.K.. pp. 435-438 .

Damper, R. I., Gunn, S. R. and Gore, M. O. (2000) Extracting phonetic knowledge from learning systems: Perceptrons, support vector machines and linear discriminants. Applied Intelligence, 12 (1-2), 43-62.

Damper, R. I. and Gunn, S. R. (1999) Learning phonetic distinctions from speech signals. Eurospeech'99, Budapest, Hungary. pp. 2675-2678 .

Damper, R.I. and Gunn, S.R. (1998) On the learnability of the voicing contrast for initial stops. 5th International Conference on Spoken Language Processing, Sydney, Australia. pp. 2143-2146 .

Nixon, M. S., Ng, L. S., Benn, D. E. and Gunn, S. R. (1997) Considerations on extended feature vectors in automatic face recognition. IEEE International Conference on Systems, Man, and Cybernetics SMC 97. pp. 4075-4080 .

Brown, M. and Gunn, S. R. (1998) Empirical data modelling algorithms: Additive spline models and support vector machines. UKACC Int. Conf. on Control '98.

Gunn, S. R. and Nixon, M. S. (1998) Global and local active contours for head boundary extraction. International Journal of Computer Vision, 30 (1), 43-54.

Gunn, S. R. (1998) Edge detection error in the discrete Laplacian of Gaussian. IEEE International Conference on Image Processing, , Chicago, United States. 01 Jan 1998. (doi:10.1109/ICIP.1998.723491).

Gunn, S.R. (1999) On the discrete representation of the Laplacian of Gaussian. Pattern Recognition, 32 (8), 1463-1472. (doi:10.1016/S0031-3203(98)00163-0).

Gunn, S. R. and Brown, M. (1999) SUPANOVA - a sparse, transparent modelling approach. IEEE International Workshop on Neural Networks for Signal Processing, Madison, Wisconsin. pp. 21-30 .

Chen, S., Gunn, S.R. and Harris, C.J. (2000) Decision feedback equalizer design using support vector machines. IEE Proceedings - Vision, Image and Signal Processing, 147 (3), 213-219.

Gao, J.B., Harris, C.J. and Gunn, S.R. (2001) On a Class of Support Vector Kernels based on Frames in Function Hilbert Spaces. Neural Computation, 13, 1975-1994.

Kandola, J.S., Gunn, S.R., Sinclair, I. and Reed, P.A.S. (1999) Data driven knowledge extraction of materials properties. Intelligent Processing and Manufacturing of Materials, , Hawaii, United States. pp. 361-366 . (doi:10.1109/IPMM.1999.792507).

Christensen, S.W., Kandola, J.S., Femminella, O.P., Gunn, S.R., Reed, P.A.S. and Sinclair, I. (2000) Adaptive numerical modelling of commercial aluminium plate performance. Starke, Jr., E.A., Sanders, T.H. and Cassada, W.A. (eds.) In Aluminium Alloys: Their Physical and Mechanical Properties. Trans Tech. pp. 533-538 .

Gao, J.B., Gunn, S.R., Harris, C.J. and Brown, M. (2002) A Probabilistic Framework for SVM Regression and Error Bar Estimation. Machine Learning, 46, 71-89.

Gao, J.B., Gunn, S.R., Harris, C.J. and Brown, M. (2001) Regression with Input-dependent Noise: a Relevance Vector Machine Treatment. IEEE Transactions on Neural Networks.

Shi, D., Gunn, S. R., Damper, R. I. and Shu, W. (2000) Recognition rule acquisition by an advanced extension matrix algorithm. Engineering Intelligent Systems for Electrical Engineering and Communications, 8 (2), 97-101.

Chen, S., Gunn, S.R. and Harris, C.J. (2001) The relevance vector machine technique for channel equalization application. IEEE Transactions on Neural Networks, 12 (6), 1529-1532.

Wilmer, A. I., Stathaki, T., Gunn, S. R. and Damper, R. I. (2001) Texture analysis with the Volterra model using conjugate gradient optimisation. 9th European Symposium on Artificial Neural Networks, Bruges, Belgium. pp. 211-216 .

Damper, R. I. and Gunn, S. R. (2001) Modeling the acoustic-to-auditory transformation for stop consonant-vowel syllables. Fifth International Conference on Cognitive and Neural Systems, Boston, MA. #23 .

Brown, Martin, Gunn, Steve R. and Lewis, Hugh G. (1999) Support vector machines for optimal classification and spectral unmixing. Ecological Modelling, 120 (2-3), 167-179. (doi:10.1016/S0304-3800(99)00100-3).

Brown, M., Lewis, H.G. and Gunn, S.R. (2000) Linear spectral mixture models and support vector machines for remote sensing. IEEE Transactions on Geoscience and Remote Sensing, 38 (5), 2346-2360. (doi:10.1109/36.868891).

Gunn, R.N., Gunn, S.R. and Cunningham, V.J. (2001) Positron Emission Tomography Compartmental Models. Journal of Cerebral Blood Flow and Metabolism, 21 (6), 635-652.

Shi, D., Gunn, S. R. and Damper, R. I. (2001) A radical approach to handwritten Chinese character recognition using active handwriting models. IEEE Conference on Computer Vision and Pattern Recognition, Kauai, Hawaii. pp. 670-675 .

Shi, D., Gunn, S. R. and Damper, R. I. (2001) A comparison among radical approaches to handwritten Chinese character recognition. International Conference on Chinese Computing, Singapore. pp. 213-219 .

Gunn, R.N., Gunn, S.R., Turkheimer, F.E., Aston, J.A.D. and Cunningham, V.J. (2002) Tracer kinetic modeling via basis pursuit. Senda, M. (ed.) Brain Imaging using PET.

Christensen, S.W., Reed, P.A.S., Gunn, S.R. and Sinclair, I. (2001) Comparison of modelling techniques in the analysis of commercial materials data. The 3rd International Conference on Intelligent Processing and Manufacturing of Materials, , Vancouver, Canada. 28 Jul - 02 Aug 2001.

Lee, K.K., Harris, C.J., Gunn, S.R. and Reed, P.A.S. (2001) Regression models for classification to enhance interpretability. Proceedings of the 3rd International Conference: Intelligent Processing and Manufacturing of Materials, Vancouver, Canada. 28 Jul - 02 Aug 2001.

Gao, J.B., Gunn, S.R. and Harris, C.J. (2001) A New Implementation for SVM Regression based on Mean Field Analysis. Computational Intelligence for Modelling Control and Automation, Las Vegas, U.S.A..

Chen, J.L., Gunn, S.R. and Nixon, M.S. (2001) A model-based image segmentation framework using labeled and unlabeled data. Int. Conf. on Advanced Concepts For Intelligent Vision Systems, Baden-Baden, Germany. pp. 112-116 .

Lee, K.K., Harris, C.J., Gunn, S.R. and Reed, P.A.S. (2001) Approaches to imbalanced data for classification: a case study. Proceedings of the International ICSC Congress on Computational Intelligence: Methods & Applications. International ICSC Congress on Computational Intelligence: Methods & Applications (CIMA 2001), , Bangor, United Kingdom. 18 - 21 Jun 2001.

Lee, K.K., Harris, C.J., Gunn, S.R. and Reed, P.A.S. (2001) A case study of SVM extension techniques on classification of imbalanced data. International Conference on Neural Networks and Applications, Puerto de la Cruz, Spain. pp. 309-314 .

Gao, J.B., Gunn, S.R. and Kandola, J.S. (2000) A Variational Approach for Adapting Kernels in Support Vector Regression. Advances in Neural Information Processing Systems (NIPS13) Kernel Workshop, Breckenridge, CO, U.S.A..

Kandola, J.S. and Gunn, S.R. (2000) Assessing the Stability of Advanced Transparent Modelling Techniques. CRM Workshop on Combining and Selecting Models using Machine Learning Algorithms, Montreal, Canada.

Chen, J.L., Gunn, S.R., Nixon, M.S., Myers, R.P. and Gunn, R.N. (2000) A Supervised Method for PET Reference Region Extraction. Medical Image Understanding and Analysis, London, U.K.. pp. 179-182 .

Gao, J.B., Harris, C.J., Gunn, S.R. and Brown, M. (2000) The error bar estimation for soft classification with Gaussian process models. ICSC Second Int. Symp. Neural Computation, Berlin, Germany.

Gunn, S.R. (1999) SUPANOVA - A Sparse, Transparent Modelling Approach. Advances in Neural Information Processing Systems (NIPS12) Kernel Workshop, Breckenridge, CO, U.S.A..

Chen, J.L., Gunn, S.R., Nixon, M.S. and Gunn, R.N. (2001) Markov Random Field Models for Segmentation of PET Images. Insana, Michael F. and Leahy, Richard M. (eds.) Information Processing in Medical Imaging. p. 468 .

Gunn, S.R. (2000) Modelling with Support Vector Machines. Albertos, P. and Sala, A. (eds.) Lecture Notes on Iterative Identification and Control Design. 289--321 .

Gunn, S.R. and Kandola, J.S. (2002) Structural Modelling with Sparse Kernels. Machine Learning, 48 (1), 137-163.

Gunn, S.R. (1998) Support Vector Machines for Classification and Regression s.n.

Gunn, S.R. (2001) Project Special Brew: Supercomputing on a budget s.n.

Shi, D., Gunn, S. R. and Damper, R. I. (2003) Handwritten Chinese radical recognition using nonlinear active shape models. IEEE Transactions on Pattern Analysis and Machine Intelligence, 25 (2), 277-280.

Shi, D., Gunn, S. R. and Damper, R. I. (2002) Handwritten Chinese character recognition using nonlinear active shape models and the Viterbi algorithm. Pattern Recognition Letters, 23 (14), 1853-1862.

Ng, G. S., Shi, D., Gunn, S. R. and Damper, R. I. (2003) Nonlinear active handwriting models and their applications to handwritten Chinese radical recognition. Seventh International Conference on Document Analysis and Recognition (ICDAR'03), Edinburgh., United Kingdom.

Gao, J.B., Gunn, S.R. and Kandola, J.S. (2002) Adapting Kernels by Variational Approach in SVM. McKay, B. and Slaney, J. (eds.) 15th Australian Joint Conference on Artificial Intelligence, Canberra, Australia. pp. 395-406 .

Gao, J.B., Gunn, S.R. and Harris, C.J. (2003) Mean Field Method for the Support Vector Machine Regression. Neurocomputing, 50, 391-405.

Gao, J.B., Gunn, S.R. and Harris, C.J. (2003) SVM Regression through Variational Methods and its Sequential Implementation. Neurocomputing, 55 (1-2), 151-167.

Reed, P.A.S., Thomson, R.C., James, J.S., Putman, D.C., Lee, K.K. and Gunn, S.R. (2003) Modelling of microstructural effects in the fatigue of austempered ductile iron. Materials Science and Engineering: A, 346 (1-2), 273-286. (doi:10.1016/S0921-5093(02)00545-2).

Gunn, R.N., Gunn, S.R., Turkheimer, F.E., Aston, J.A.D. and Cunningham, V.J. (2002) Positron emission tomography compartmental models: A basis pursuit strategy for kinetic modelling. Journal of Cerebral Blood Flow and Metabolism, 22 (12), 1425-1439.

Christensen, S.W., Reed, P.A.S., Gunn, S.R. and Sinclair, I. (2002) Comparison of modelling techniques in the analysis of commercial materials data. In, Meech, J.A., Veiga, M.M., Kawazoe, Y. and LeClair, S.R. (eds.) Proceedings of Intelligent Processing and Manufacturing of Materials. The 3rd International Conference on Intelligent Processing and Manufacturing of Materials (28/07/01 - 02/08/01) Boca Raton. CRC Press, pp. 49-58.

Shi, D., Damper, R. I. and Gunn, S. R. (2003) Off-line handwritten Chinese character recognition by radical decomposition. ACM Transactions on Asian Language Processing, 2 (1), 27-48.

Al-Mazeed, Ahmad H., Nixon, Mark S. and Gunn, Steve R. (2003) Fusing Complementary Operators to Enhance Foreground/Background Segmentation. Harvey, Richard and Bagham, J. Andrew (eds.) British Machine Vision Conference 2003, Norwich. pp. 501-510 .

Dutta, Partha S., Dasmahapatra, Srinandan, Gunn, Steve R., Jennings, N. R. and Moreau, Luc (2004) Cooperative Information Sharing to Improve Distributed Learning. The AAMAS 2004 workshop on Learning and Evolution in Agent-Based Systems, New York. 18 - 23 Jul 2004. pp. 18-23 .

Al-Mazeed, A. H., Nixon, M. S. and Gunn, S. R. (2004) Classifiers Combination for Improved Motion Segmentation. Campilho, A. and Kamel, M. (eds.) International Conference on Image Analysis and Recognition, Porto, Portugal. 28 - 30 Sep 2004. pp. 363-371 .

Shi, D., Ng, G. S., Damper, R. I. and Gunn, S. R. (2005) Radical recognition of handwritten Chinese characters using GA-based kernel active shape modelling. IEE Proceedings - Vision, Image and Signal Processing, 152 (5), 634-638.

Guo, B., Gunn, S. R., Damper, R. I. and Nelson, J. (2005) Adaptive band selection for hyperspectral image fusion using mutual information. 8th International Conference on Information Fusion, Philadelphia, PA. pp. 630-637 .

Guo, B., Gunn, S. R., Damper, R. I. and Nelson, J. (2005) Hyperspectral image fusion using spectrally weighted kernels. 8th International Conference on Information Fusion, Philadelphia, PA. pp. 402-408 .

Turkheimer, F.E., Hinz, R., Gunn, R.N., Aston, J.A.D., Gunn, S.R. and Cunningham, V.J. (2003) Rank-Shaping Regularization of Exponential Spectral Analysis for Application to Functional Parametric Mapping. Physics in Medicine and Biology, 48 (23), 3819-3841.

Guyon, I.M., Gunn, S.R., Ben-Hur, A. and Dror, G. (2004) Result Analysis of the NIPS 2003 Feature Selection Challenge. Advances in Neural Information Processing Systems, Vancouver, B.C., Canada.

Pearce, C.B., Gunn, S.R., Ahmed, A. and Johnson, C.D. (2004) Investigation of the use of machine learning in predicting severity in acute pancreatitis. 11th Joint Meeting of the International Association of Pancreatology.

Rogers, J.D. and Gunn, S.R. (2004) Ensemble Algorithms for Feature Selection. Sheffield Machine Learning Workshop, University of Sheffield, Sheffield. 06 - 09 Sep 2004.

Yang, J. and Gunn, S.R. (2004) Input Uncertainty in Support Vector Machines. Sheffield Machine Learning Workshop, University of Sheffield, Sheffield. 06 - 09 Sep 2004.

Pearce, C.B., Gunn, S.R., Ahmed, A. and Johnson, C.D. (2004) The use of machine learning techniques to predict severity in acute pancreatitis. Digestive Disease Week 2004, New Orleans, U.S.A..

Pearce, C.B., Gunn, S.R., Ahmed, A. and Johnson, C.D. (2004) Using machine learning to predict severity in acute pancreatitis. British Society of Gastroenterology.

Rogers, J.D. and Gunn, S.R. (2005) Identifying Feature Relevance using a Random Forest. Subspace, Latent Structure and Feature Selection techniques: Statistical and Optimisation perspectives Workshop, Bohinj, Slovenia.

Pearce, C.B., Gunn, S.R., Ahmed, A. and Johnson, C.D. (2006) Machine learning can improve prediction of severity in acute pancreatitis using admission values of APACHE II score and C-reactive protein. Pancreatology, 6 (1-2), 123-131. (doi:10.1159/10.1159/000090032).

Guo, B., Gunn, S. R., Damper, R. I. and Nelson, J. D. B. (2006) Band selection for hyperspectral image classification using mutual information. IEEE Geoscience and Remote Sensing Letters, 3 (4), 522-526.

Nelson, J. D. B., Damper, R. I., Gunn, S. R. and Guo, B. (2006) Signal theory for SVM kernel parameter estimation. IEEE International Workshop on Machine Learning for Signal Processing, Maynooth, Ireland. pp. 149-154 .

Dhanjal, Charanpal, Gunn, Steve R. and Shawe-Taylor, John (2006) Sparse Feature Extraction using Generalised Partial Least Squares. IEEE International Workshop on Machine Learning for Signal Processing, Maynooth, Ireland. pp. 27-32 .

Guo, B., Damper, R. I., Gunn, S. R. and Nelson, J. D. B. (2008) A fast separability-based feature selection method for high-dimensional remotely-sensed image classification. Pattern Recognition, 41 (5), 1670-1679. (doi:10.1016/j.patcog.2007.11.007).

Guo, B., Gunn, S. R., Damper, R. I. and Nelson, J. D. B. (2008) Customizing kernel functions for SVM-based hyperspectral image classification. IEEE Transactions on Image Processing, 17 (4), 622-629. (doi:10.1109/TIP.2008.918955).

Nelson, J. D. B., Damper, R. I., Gunn, S. R. and Guo, B. (2008) Signal theory for SVM kernel design with applications to parameter estimation and sequence kernels. Neurocomputing, 72 (1-3), 15-22. (doi:10.1016/j.neucom.2008.01.034).

Nelso, James D.B., Damper, Robert I., Gunn, Steve R. and Guo, Baofeng (2009) A signal theory approach to support vector classification: the sinc kernel. Neural Networks, 22 (1), 49-57. (doi:10.1016/j.neunet.2008.09.016). (PMID:19118976)

Dhanjal, Charanpal, Gunn, Steve and Shawe-Taylor, John (2009) Efficient sparse kernel feature extraction based on partial least squares. IEEE Transactions on Pattern Analysis and Machine Intelligence, 31 (8), 1347-1361. (doi:10.1109/TPAMI.2008.171).

Acharyya, Amit, Maharatna, Koushik, Al-Hashimi, Bashir and Gunn, Steve (2009) Memory Reduction Methodology for Distributed-Arithmetic-Based DWT/IDWT Exploiting Data Symmetry. IEEE Transactions on Circuits and Systems II: Express Briefs, 56 (4), 285-289.

Acharyya, Amit, Maharatna, Koushik, Sun, Jinhong, Al-Hashimi, Bashir and Gunn, Steve (2009) Hardware Efficient Fixed-Point VLSI Architecture for 2D Kurtotic FastICA. 19th European Conference on Circuit Theory and Design, Antalya, Turkey. 22 - 26 Aug 2009. pp. 165-168 .

Pasupa, Kitsuchart, Saunders, Craig, Szedmak, Sandor, Klami, Arto, Kaski, Samuel and Gunn, Steve (2009) Learning to Rank Images from Eye Movements. Proceeding of 2009 IEEE 12th International Conference on Computer Vision (ICCV'2009) Workshop on Human-Computer Interaction (HCI'2009), Kyoto, Japan. 26 Sep - 03 Oct 2009. pp. 2009-2016 .

Klami, Arto, Kaski, Samuel, Pasupa, Kitsuchart, Szedmak, Sandor, Gunn, Steve, Hardoon, David and Csurka, Gabriela (2009) Predicting relevance of parts of an image s.n.

Pasupa, Kitsuchart, Saunders, Craig, Szedmak, Sandor, Gunn, Steve, Hardoon, David, Klami, Arto, Kaski, Samuel, Leung, Alex and Auer, Peter (2009) Ranking algorithms for implicit feedback s.n.

Lovell, Chris, Jones, Gareth, Gunn, Steve and Zauner, Klaus-Peter (2010) Autonomous Experimentation: Coupling Active Learning with Computer Controlled Microfluidics (abstract). Active Learning and Experimental Design Workshop, Sardinia, Italy. (In Press)

Acharyya, Amit, Tudugalle, Hasitha, Maharatna, Koushik, Al-Hashimi, Bashir and Gunn, Steve (2010) VLSI architecture for fetal ECG extraction for personalized healthcare application within resource constrained environmentT. Sixth UK Embedded Forum, University of Newcastle-upon-Tyne, United Kingdom. 29 - 30 Jun 2010.

Lovell, Chris, Jones, Gareth, Gunn, Steve and Zauner, Klaus-Peter (2010) Characterising Enzymes for Information Processing: Towards an Artificial Experimenter. In, 9th International Conference on Unconventional Computation. Springer Berlin / Heidelberg, pp. 81-92.

Lovell, Chris, Jones, Gareth, Gunn, Steve and Zauner, Klaus-Peter (2010) An Artificial Experimenter for Enzymatic Response Characterisation. In, 13th International Conference on Discovery Science. Springer-Verlag, pp. 42-56.

Mahmoodi, Sasan and Gunn, Steve (2011) Scale Space Smoothing, Image Feature Extraction and Bessel Filters. Lecture Notes in Computer Science-17th Scandinavian Conference on Image Analysis, Ystad, Sweden. 22 - 26 May 2011. pp. 625-634 .

Mahmoodi, Sasan and Gunn, Steve (2011) Snake based Unsupervised Texture Segmentation using Gaussian Markov Random Field Models. 18th IEEE International Conference on Image Processing, Brussels, Belgium. 10 - 13 Sep 2011.

Lovell, Chris, Jones, Gareth, Gunn, Steve and Zauner, Klaus-Peter (2011) Autonomous Experimentation: Active Learning for Enzyme Response Characterisation. JMLR: Workshop and Conference Proceedings, 16, 141-155.

Lovell, Chris, Zauner, Klaus-Peter and Gunn, Steve (2011) Exploration and Exploitation in an Artificial Experimenter. ICML Workshop on On-line Trading of Exploration and Exploitation 2.

Lovell, Chris, Jones, Gareth, Zauner, Klaus-Peter and Gunn, Steve R. , Glowacka, Dorota, Dorard, Louis and Shawe-Taylor, John (eds.) (2012) Exploration and exploitation with insufficient resources. [in special issue: Proceedings of the Workshop on On-line Trading of Exploration and Exploitation 2, July 2, 2011, Bellevue, Washington, USA] JMLR: Workshop and Conference Proceedings, 26, 37-61.

Wood, Alex L., Merrett, Geoff V., Gunn, Steve R., Al-Hashimi, Bashir M., Shadbolt, Nigel R and Hall, Wendy (2012) Adaptive sampling in context-aware systems: a machine learning approach. IET Wireless Sensor Systems 2012, London, United Kingdom. 18 - 19 Jun 2012. 5 pp .

Lovell, Chris and Gunn, Steve (2012) Towards Improved Theoretical Problems for Autonomous Discovery. IEEE WCCI IJCNN 2012.

Jones, Gareth, Lovell, Chris, Gunn, Steve, Morgan, Hywel and Zauner, Klaus-Peter (2012) Enabling the Discovery of Computational Characteristics of Enzyme Dynamics. IEEE WCCI CEC 2012.

Ghosh, Shaona, Lovell, Christopher James and Gunn, Steve R. (2013) Towards pareto descent directions in sampling experts for multiple tasks in an on-line learning paradigm. In Proceedings of the AAAI Spring Symposium Series of Lifelong Machine Learning 2013. vol. 13, AAAI Press..

Ghosh, Shaona and Gunn, Steve (2012) Towards potential-based learning for pareto trade-offs in on-line prediction with experts. Women in Machine Learning 2012, Lake Tahoe, Nevada, United States. 03 Dec 2012.

Al-Lashi, Raied, Gunn, Stephen and Czerski, Helen (2017) Automated processing of oceanic bubble images for measuring bubble size distribution underneath breaking waves. Journal of Atmospheric and Oceanic Technology, 33 (8), 1701-1714. (doi:10.1175/JTECH-D-15-0222.1).

Tenentes, Vasileios, Rossi, Daniele, Yang, Sheng, Khursheed, Saqib, Al-Hashimi, Bashir M. and Gunn, Steve R. (2017) Coarse-grained online monitoring of BTI aging by reusing power gating infrastructure. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25 (4), 1397-1407. (doi:10.1109/TVLSI.2016.2626218).

Tenentes, Vasileios, Rossi, Daniele, Yang, S, Khursheed, Saqib, Al-Hashimi, Bashir and Gunn, Stephen (2016) Data-set supporting the article entitled "Coarse-grained Online Monitoring of BTI Aging by Reusing Power Gating Infrastructure". University of Southampton doi:10.5258/SOTON/402489 [Dataset]

Al-Lashi, Raied Sarmad, Gunn, Stephen R., Webb, Eric G. and Czerski, Helen (2018) A novel high resolution optical instrument for imaging oceanic bubbles. IEEE Journal of Oceanic Engineering, 43 (1), 72-82. (doi:10.1109/JOE.2017.2660099).

Al-Lashi, Raied S., Webster, Merlin, Gunn, Steve R. and Czerski, Helen (2018) Towards omni-directional and automated imaging system for measuring oceanic whitecap coverage. Journal of the Optical Society of America A: Optics and Image Science, and Vision, 35 (4), 515-521. (doi:10.1364/JOSAA.35.000515).

Bi, Jia and Gunn, Steve R. (2018) Sparse deep neural networks for embedded intelligence. In 30th International Conference on Tools with Artificial Intelligence(ICTAI). IEEE Computer Society. pp. 30-38 . (doi:10.1109/ICTAI.2018.00016).

Yilmaz, Yildiran, Gunn, Steve R. and Halak, Basel (2018) Lightweight PUF-based authentication protocol for IoT devices. In 2018 IEEE 3rd International Verification and Security Workshop, IVSW 2018. Institute of Electrical and Electronics Engineers Inc. pp. 38-43 . (doi:10.1109/IVSW.2018.8494884).

Bi, Jia and Gunn, Steve R. (2019) A stochastic gradient method with biased estimation for faster nonconvex optimization. Nayak, A. and Sharma, A. (eds.) In PRICAI 2019: Trends in Artificial Intelligence. vol. 11671, Springer, Cham. pp. 337-349 . (doi:10.1007/978-3-030-29911-8_26).

Bi, Jia and Gunn, Stephen R. (2021) A variance controlled stochastic method with biased estimation for faster non-convex optimization. Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 135 - 150. (doi:10.1007/978-3-030-86523-8_9).

Contact

Share this profile FacebookTwitterWeibo

Publications

Bi, Fan, Stein, Sebastian, Gerding, Enrico, Jennings, Nick and La Porta, Tom (2019) A truthful online mechanism for allocating fog computing resources. Conference on Autonomous Agents and Multiagent Systems (AAMAS 2019), , Montreal, Canada. 13 - 17 May 2019. pp. 1829-1831 .

Bi, Fan, Stein, Sebastian, Gerding, Enrico, Jennings, Nick and La Porta, Thomas (2019) A truthful online mechanism for resource allocation in fog computing. Nayak, A. and Sharma, A. (eds.) In PRICAI 2019: Trends in Artificial Intelligence. PRICAI 2019. vol. 11672, Springer, Cham. pp. 363-376 . (doi:10.1007/978-3-030-29894-4_30).

Contact

Share this profile FacebookTwitterWeibo

Publications

Yang, Z.R., Zwolinski, M. and Chalk, C.D. (1998) Bootstrap, an alternative to Monte Carlo simulation. Electronics Letters, 34 (12).

Chalk, C.D. and Zwolinski, M. (1997) A Design for Test Technique to Increase the Resolution of Supply Current Monitoring in Analogue Circuits. Electronics Letters, 33 (21).

Perkins, A.J., Zwolinski, M., Chalk, C.D. and Wilkins, B.R. (1998) Fault Modeling And Simulation Using VHDL-AMS. Analog Integrated Circuits and Signal Processing, 16 (2).

Brown, A.D., Nichols, K.G. and Zwolinski, M. (1996) Issues in the design of a logic simulator: element modelling for efficiency.

Zwolinski, M., Brown, A.D. and Chalk, C. (1997) Concurrent analogue fault simulation.

Wong, S.C., Brown, A.D. and Zwolinski, M. (1999) Simulation of losses in resonant converter circuits.

Zwolinski, M., Chalk, C. and Wilkins, B. R. (1996) Analogue Fault Modelling and Simulation for Supply Current Monitoring. European Design and Test Conference, 547-552.

Zwolinski, M., Chalk, C., Wilkins, B.R. and Suparjo, B.S. (1996) Analogue Circuit Test using RMS Supply Current Monitoring.

Chalk, C., Zwolinski, M. and Wilkins, B.R. (1997) Test Stimulus Generation for Steady-State Analysis of Analogue and Mixed-signal Circuits.

Lam, Y. and Zwolinski, M. (1997) An Analogue Circuit Optimiser.

Spinks, S.J., Chalk, C.D., Zwolinski, M. and Bell, I.M. (1997) Generation and Verification of Tests for Analogue Circuits Subject to Process Parameter Deviations.

Zwolinski, M., Chalk, C.D. and Perkins, A.J. (1997) Multi-Level Fault Modeling Of Analog Circuits.

Chalk, C.D. and Zwolinski, M. (1997) A DfT Technique to Increase the Resolution of AC RMS Supply Current Monitoring of Complex Analogue Circuits.

Lam, Y. and Zwolinski, M. (1997) Analogue Circuit Synthesis from Performance Specifications.

Bell, I.M., Spinks, S.J., Taylor, D., Milne, A., Zwolinski, M. and Chalk, C.D. (1998) A Comparison of Structural Analogue Testing Techniques.

Yang, Z. R., Zwolinski, M. and Chalk, C.D. (1998) Fault Detection and Classification in Analogue Integrated Circuits using Robust Heteroscedastic Probabilistic Neural Networks.

Lechner, A., Perkins, A., Richardson, A., Zwolinski, M. and Hermes, B. (1998) Design for Testability Strategies for a High Performance Automatic Gain Control Circuit.

Chalk, C. and Zwolinski, M. (1998) A Design for Test Technique to Increase the Resolution of Analogue Supply Current Tests.

Yang, Z.R. and Zwolinski, M. (1998) A Methodology for Statistical Behavioral Fault Modeling.

Yang, Z.R. and Zwolinski, M. (1999) Fast, robust DC and transient fault simulation for nonlinear analogue circuits. pp. 244-8 .

Kilic, Y. and Zwolinski, M. (1999) Testing analog circuits by supply voltage variation and supply current monitoring. pp. 155-8 .

Kilic, Y., Chalk, C.D. and Zwolinski, M. (1999) Design and Realisation of a New Built-In Current Sensor for Mixed-Signal IDDD Test. pp. 55-60 .

Zwolinski, M. and Tan, C.H. (1999) Characterisation of Analog Macromodels under Fault Conditions using a Probabilistic Neural Network. pp. 157-60 .

Lam, Y. and Zwolinski, M. (1999) Topology Selector for Analogue Circuits. pp. 209-12 .

Yang, Z R, Zwolinski, M, Chalk, C D and Williams, A C (2000) Applying a Robust Heteroscedastic Probabilistic Neural Network to Analog Fault Detection and Classification.

Zwolinski, M (2000) Digital System Design with VHDL , Pearson Education

Williams, A.C., Brown, A.D. and Zwolinski, M. (2000) In-line Test of Synthesised Systems Exploiting Latency Analysis.

Kilic, Yavuz and Zwolinski, Mark (2000) Concurrent Transient Fault Simulation of Nonlinear Analogue Circuits. 6th International Mixed-Signal Testing Workshop.

Zwolinski, M., Glaser, H. and Peh, K. (1992) Circuit Simulation - A Functional Programming Approach. Pickering, A. (ed.) Research Journal. pp. 99-102 .

Brown, A D, Zwolinski, M and Redman-White, W (1990) Mixed mode simulation of oversampled A/D converters.

Williams, A.C., Brown, A.D. and Zwolinski, M. (2000) Simultaneous Optimisation of Dynamic Power, Area and Delay in Behavioural Synthesis.

Williams, A.C., Brown, A.D. and Zwolinski, M. (2000) A VHDL Behavioural Synthesis System Featuring Simultaneous Optimisation of Dynamic Power, Area and Delay. pp. 23-30 .

Kilic, Yavuz and Zwolinski, Mark (2001) Process variation independent built-in current sensor for analogue built-in self-test. International Symposium on Circuits and Systems. IV 398-401 .

Zwolinski, M, Yang, Z R and Kazmierski, T J (2000) Using robust adaptive mixing for statistical fault macromodelling. IEE Proc. Circuits Devices & Syst., 147 (Issue), 267-270.

Zwolinski, M., Yang, Z.R. and Kazmierski, T.J. (2000) Applying Mutual Information Theory to Behavioural Analogue Fault Modelling. International Journal of Electronics, 87 (12), 1461-71.

Zwolinski, M. (2000) A Technique for Transparent Fault Injection and Simulation in VHDL. Small System Simulation Symposium (SSSS).

Zwolinski, M., Crutchley, D. and Yang, Z.R. (2000) Evolutionary Computing for Operating Point Analysis of Nonlinear Circuits. International Conference on Signals and Electronic Systems (ICSES).

Zwolinski, M. and Kilic, Y. (2000) Closeness Measurement in Concurrent Analogue Fault Simulation. International Conference on Signals and Electronic Systems (ICSES).

Zwolinski, M. and Lam, Y. (2000) Analog Circuit Synthesis With Over-designed Circuits. Asia Pacific Conference on Circuits and Systems.

Litovski, V. and Zwolinski, M. (1997) VLSI Circuit Simulation and Optimization , Chapman and Hall

Zwolinski, M. and Yang, Z.R. (2001) Mutual Information Theory for Adaptive Mixture Models. IEEE Transactions on Pattern Analysis and Machine Intelligence, 23 (4), 396-403.

Forcer, T M, Nixon, M S and Zwolinski, M (2002) An integrated framework for digital electronics education - programmable logic and IC design tools. Engineering Education 2002 - Professional Engineering Scenarios. 37/1-37/6 .

Oikonomakos, Petros and Zwolinski, Mark (2001) Using High-Level Synthesis to Implement On-Line Testability. IEEE Real-Time Embedded Systems Workshop.

Zwolinski, M., Garagate, C. and Kazmierski, T. J. (1994) Mixed-signal simulation using the ALFA simulation backplane. Proc. IEE Coll. on Mixed Mode Modelling and Simulation, London.

Zwolinski, M., Garagate, C., Mrcarica, Z., Kazmierski, T. J. and A.D, Brown (1995) Anatomy of a simulation backplane. IEE Proc.-Comput. Digit. Tech,, Vol. 1.

Zwolinski, M. and Kazmierski, T. J. (1994) Modelling in VHDL-A,. Proc. IEE Coll. on Mixed Mode Modelling and Simulation, London.

Nichols, K G, Kazmierski, T J, Brown, A D and Zwolinski, M (1994) Overview of SPICE simulation algorithms. IEE Proc. Circuits, Devices and Systems, v. 141 (no. 4), 242-250.

Brown, A. D., Zwolinski, M., Nichols, K. G. and Kazmierski, T J (1992) Confidence in Mixed-mode Circuit Simulation. Computer-Aided Design, v. 24 (2), 115-118.

Kazmierski, T J, Nichols, K G, Brown, A D and Zwolinski, M (1992) A general-purpose network solving system. Halaas, A. and P.B. Denyer, (eds.) In IFIP Transactions. North-Holland,. pp. 147-156 .

Nichols, K.G., Kazmierski, T J, Zwolinski, M and Brown, A D (1993) Reliability of circuit-level simulation,. Proc. IEE Colloquium on SPICE.

Wilson, Peter R, Kilic, Yavuz, Ross, J. Neil, Zwolinski, Mark and Brown, Andrew D. (2002) Behavioural Modelling of Operational Amplifier Faults using VHDL-AMS. Design, Automation and Test in Europe. p. 1133 .

Zwolinski, Mark and Allen, Robin W. (2001) Practical algorithms for fully decoupled mixed-mode simulation of electronic circuits. International Symposium on Circuits and Systems. V 451-4 .

Zwolinski, M. (2001) A Technique for Transparent Fault Injection and Simulation. Microelectronics and Reliability, 41 (6), 797-804.

Kilic, Y. and Zwolinski, M. (2001) Behavioural/Macro Modelling To Speed-Up Analogue Fault Simulation. Proceedings of ELECO'01.

Kilic, Y. and Zwolinski, M. (2001) Speed-up Techniques for Fault-based Analogue Fault Simulation. Proceedings of ETW'01.

Lawrence, B. and Zwolinski, M. (2001) Interconnect Prediction and its Role in High Level Synthesis.

Esrafili-Gerdeh, D. and Zwolinski, M. (2001) Synthesis of Reconfigurable Systems.

Gaur, M.S. and Zwolinski, M. (2001) High Level BIST Insertion with Multiple Objective Optimisation.

Crutchley, D. and Zwolinski, M. (2001) Globally Convergent Algorithms for DC Operating Point Analysis of Nonlinear Circuits.

Gaur, Manoj Singh and Zwolinski, Mark (2002) Unified BIST and functional optimisation in behavioural synthesis.

Kilic, Yavuz and Zwolinski, Mark (2002) Behavioural Fault Modelling using VHDL-AMS and Slow Transient Analysis with hAMSter Simulator to Speed-up Analogue Fault Simulation. European Test Workshop.

Crutchley, D A and Zwolinski, M (2002) Using Evolutionary and Hybrid Algorithms for DC Operating Point Analysis of Nonlinear Circuits. IEEE World Congress on Computational Intelligence - Congress on Evolutionary Computation. pp. 753-8 .

Oikonomakos, Petros and Zwolinski, Mark (2002) High-Level Synthesis for On-Line Testability. Postgraduate Research in Electronics, Photonics, communications and software, Nottingham, United Kingdom. 16 - 18 Apr 2002.

Oikonomakos, Petros and Zwolinski, Mark (2002) Transformation Based Insertion of On-Line Testing Resources in a High-Level Synthesis Environment. IEEE International On-Line Testing Workshop, Isle of Bendor, France. 07 - 09 Jul 2002. p. 185 .

Oikonomakos, Petros and Zwolinski, Mark (2002) On-Line Testability in a Transformation-Based and Cost Function-Driven High-Level Synthesis Environment. UK ACM SIGDA Workshop on Electronic Design Automation, Bournemouth, United Kingdom. 15 - 16 Sep 2002.

Oikonomakos, P., Zwolinski, M. and Al-Hashimi, B. M. (2003) Versatile High-Level Synthesis of Self-Checking Datapaths Using an On-Line Testability Metric. Design Automation and Test in Europe (DATE), Munich. 03 - 07 Mar 2003. pp. 596-601 .

Crutchley, Duncan and Zwolinski, Mark (2003) Globally convergent algorithms for dc operating point analysis of nonlinear circuits. IEEE Transactions on Evolutionary Computation, 7 (1), 2-10.

Al-Hashimi, Bashir, Xie, Yan and Zwolinski, Mark (2003) Analysis of mirror mismatch and clock-feedthrough in Brouton transformation switched current wave filters. IEE Proceedings - Circuits, Devices and Systems, 150 (1), 6-15.

Gaur, Manoj Singh, Zwolinski, Mark and Al-Hashimi, Basheer (2003) Concurrent Optimisation of Self-testable Designs from Behavioural Descriptions by Controller based Estimation Technique. Gaur, Manoj Singh (ed.) IEEE European Test Workshop, Mastricht, The, Netherlands. 24 - 27 May 2003.

Zwolinski, M and Gaur, M S (2003) Integrating testability with design space exploration. Microelectronics Reliability, 43 (5), 685-694.

Baker, K R, Zwolinski, M and Brown, A D (1995) Concurrent Testing of Latent Modules in Synthesized Systems. 1st IEEE International On-Line Testing Workshop, Nice, France. pp. 196-200 .

Oikonomakos, Petros and Zwolinski, Mark (2003) Foundation of Combined Datapath and Controller Self-checking Design. Metra, Cecilia, Sonza Reorda, Matteo, Gizopoulos, Dimitris and Nicolaidis, Michael (eds.) 9th IEEE International On-Line Testing Symposium, Kos Island, Greece. 06 - 08 Jul 2003. pp. 30-34 .

Oikonomakos, Petros and Zwolinski, Mark (2003) Controller Self-checking in a Controller / Datapath Architecture. Hettiaratchi, Sambuddhi (ed.) 3rd SIGDA UK Workshop on Electronic Design Automation, Southampton, United Kingdom. 10 - 11 Sep 2003.

Spinks, SJ, Chalk, CD, Bell, IM and Zwolinski, M (2004) Generation and Verification of Tests for Analog Circuits Subject to Process Parameter Deviations. Journal of Electronic Testing: Theory and Applications, 20 (1), 11-23.

Kilic, Y and Zwolinski, M (2004) Behavioral fault modeling and simulation using VHDL-AMS to speed-up analog fault simulation. Analog Integrated Circuits and Signal Processing, 39 (2), 177-190.

Gaur, MS and Zwolinski, M (2004) Integrating Self Testability with Design Space Exploration by a Controller based Estimation Technique. 17th International Conference on VLSI Design (VLSID’04), Mumbai, India. pp. 901-906 .

Litovski, VB, Litovski, IV and Zwolinski, M (2004) Concurrent analogue fault simulation, the equation formulation aspect. International Journal of Circuit Theory and Applications, 32 (6), 487-507.

Milton, DJD, Brown, AD, Zwolinski, M and Wilson, PR (2004) Behavioural synthesis utilising dynamic memory constructs. IEE Proceedings - Computers and Digital Techniques, 151 (3), 252-264.

Brown, AD and Zwolinski, M (2004) Behavioural modelling of analogue faults in VHDL-AMS - A case study. 2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, Vancouver, Canada. 22 - 25 May 2004. V632-V635 .

Zwolinski, M. (2004) Digital System Design with VHDL 2nd Edition , Pearson Education

Crutchley, DA and Zwolinski, M (2004) DC operating point analysis using evolutionary computing. 24th International Conference on Microelectronics (MIEL 2004), Nis and Montenegro, Serbia. pp. 727-730 .

Litovski, V, Andrejević, M and Zwolinski, M (2005) Behavioural Modelling, Simulation, Test and Diagnosis of MEMS using ANNs. International Symposium on Circuits and Systems, Kobe, Japan. 22 - 25 May 2005.

Miller, PR, Zwolinski, M and Jesshope, CR (1989) Using Ella As A Design Tool. International Journal of Electrical Engineering Education, 26 (1-2), 134-145.

Baker, KR and Zwolinski, M (1992) Interleaving: An Additional Topological Compaction Technique for Weinberger Array Generation. Computer-Aided Design, 24 (3), 169-176.

Brown, AD, Nichols, KG and Zwolinski, M (1995) Issues in the design of a logic simulator: an improved caching technique for event-queue management. IEE Proceedings - Circuits, Devices and Systems, 142 (5), 293-298.

Chalk, C and Zwolinski, M (1995) Macromodel of CMOS operational amplifier including supply current variation. Electronics Letters, 171 (31), 1398-1400.

Yee, Tack Boon, Zwolinski, Mark and Brown, Andrew D (2005) Multi-FPGA Synthesis with Asynchronous Communication Subsystems. IFIP International Conference on Very Large Scale Integration (VLSI-SOC 2005).

Oikonomakos, Petros and Zwolinski, Mark (2006) On the Design of Self-checking Controllers with Datapath Interactions. IEEE Transactions on Computers, 55 (11), 1423-1434.

Zain Ali, Noohul Basheer, Zwolinski, Mark, Al-Hashimi, Bashir M and Harrod, Peter (2006) Dynamic Voltage Scaling Aware Delay Fault Testing. European Test Symposium, Southampton. 21 - 25 May 2006.

Andrejevic, M, Litovski, V and Zwolinski, M (2006) Fault diagnosis in digital part of mixed-mode circuit. 25TH INTERNATIONAL CONFERENCE ON MICROELECTRONICS, Belgrade, Serbia. 13 - 16 May 2006. pp. 437-440 . (doi:10.1109/ICMEL.2006.1650986).

Ahmadi, Arash and Zwolinski, Mark (2005) Area Word-Length Trade off in DSP Algorithm Implementation and Optimization. IEE/EURASIP Conference on DSPenabledRadio, Southampton, United Kingdom. 18 - 19 Sep 2005. 16/1-16/6 .

Ahmadi, Arash and Zwolinski, Mark (2006) Word-Length Oriented Multiobjective Optimization of Area and Power Consumption in DSP Algorithm Implementation. 2006 25th International Conference on Microelectronics, Belgrade and Montenegro, Serbia. 13 - 16 May 2006. pp. 614-617 .

Wilson, Peter R, Al Hashimi, Bashir, Brown, Andrew D and Zwolinski, Mark (2006) A Masters Course in System on Chip. European Workshop on Microelectronics Education, Stockholm. pp. 11-14 .

Litovski, V, Andrejevic, M and Zwolinski, M , Stojadinovic, N (ed.) (2006) Analogue electronic circuit diagnosis based on ANNs. Microelectronics Reliability, 46 (8), 1382-1391.

Asgary, Reza, Mohammadi, Karim and Zwolinski, Mark , Stojadinovic, N (ed.) (2007) Using neural networks as a fault detection mechanism in MEMS devices. Microelectronics Reliability, 47 (1), 142-149.

Litovski, V, Andrejevic, M and Zwolinski, M (2004) ANN based modeling, testing and diagnosis of MEMS. NEUREL 2004: SEVENTH SEMINAR ON NEURAL NETWORK APPLICATIONS IN ELECTRICAL ENGINEERING, Belgrade, Serbia. 22 - 24 Sep 2004. pp. 183-188 .

Brown, AD and Zwolinski, M (2003) The continuous-discrete interface - What does this really mean? - Modelling and simulation issues. IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, Bangkok, Thailand. 24 - 27 May 2003. pp. 894-897 .

Zwolinski, M and Reeve, JS (2005) Behavioural synthesis of an adaptive Viterbi decoder. DSPenabledRadio, 2005. The 2nd IEE/EURASIP Conference on, Southampton, United Kingdom. 18 - 19 Sep 2004.

Ahmadi, Arash and Zwolinski, Mark (2007) A New Structure for Datapath Synthesis. 12th International CSI Computer Conference. 20 - 22 Feb 2007.

Ahmadi, Arash and Zwolinski, Mark (2007) Multiple-Width Bus Partitioning Approach to Datapath Synthesis. IEEE International Symposium on Circuits and Systems (ISCAS), New Orleans, United States. 26 - 29 May 2007. 2994 -2997 .

Ahmadi, Arash and Zwolinski, Mark (2007) MW²P-Bus: A New Bus Structure for Datapath Synthesis. 3rd UK Embedded Forum, Durham, United Kingdom. 01 - 02 Apr 2007.

Ahmadi, Arash and Zwolinski, Mark (2007) A Symbolic Noise Analysis Approach to Word-Length Optimization in DSP Hardware. International Symposium on Integrated Circuits (ISIC 2007), Singapore. 25 - 27 Sep 2007. pp. 497-500 .

Ahmadi, Arash and Zwolinski, Mark (2008) Symbolic Noise Analysis Approach to Computational Hardware Optimization. Design Automation Conference (DAC), United States. 08 - 12 Jun 2008. pp. 391-396 .

Zain Ali, Noohul Basheer, Zwolinski, Mark and Al-Hashimi, Bashir (2007) Testing of Level Shifters in Multiple Voltage Designs. 14th IEEE International Conference on Electronics, Circuits and Systems, Morocco. 10 - 13 May 2007.

Ahmadi, Arash and Zwolinski, Mark (2008) On The Probability Distribution Of Fixed-Point Multiplication. IEEE International Conference on Electronics Circuits and Systems, Malta. pp. 25-28 .

Baddam, Karthik and Zwolinski, Mark (2008) Path switching: a technique to tolerate dual rail routing imbalances. Design Automation for Embedded Systems.

Mishra, B, Al-Hashimi, Bashir and Zwolinski, Mark (2009) Variation Resilient Adaptive Controller for Subthreshold Circuits. Design, Automation & Test in Europe Conference & Exhibition (DATE '09), , Nice, France. 20 - 24 Apr 2009. (doi:10.1109/DATE.2009.5090648).

Ahmadi, Arash and Zwolinski, Mark (2009) Symbolic Error Analysis In Digital Computation. 3rd MRS Network Workshop - Numerical Accuracy and Reliability, Queen's University Belfast, United Kingdom.

Sokolovic, Miljana, Litovski, Vanco and Zwolinski, Mark (2009) New concepts of worst-case delay and yield estimation in asynchronous VLSI circuits. Microelectronics Reliability, 49 (2), 186-198.

Wang, Yangang and Zwolinski, Mark (2009) Analytical Transient Response and Propagation Delay Model for Nanoscale CMOS Inverter. ISCAS, Taipei., Taiwan.

Sokolovic, Miljana, Litovski, Vanco and Zwolinski, Mark (2008) New concepts of worst-case delay evaluation in asynchronous VLSI SoC. 26th International Conference on Microelectronics (MIEL 2008), Nis, Serbia. 10 - 13 May 2008.

Wang, Yangang, Zwolinski, Mark and Merrett, Michael (2008) Behavioural modelling for stability of CMOS SRAM cells subject to random discrete doping. IEEE Inernational Behavioral Modeling and Simulation Workshop (BMAS), San Jose, CA, United States.

Baddam, Karthik and Zwolinski, Mark (2008) Divided Backend Duplication Methodology for Balanced Dual Rail Routing. Workshop on Cryptographic Hardware and Embedded Systems 2008 (CHES 2008).

Ahmadi, Arash, Mangieri, Eduardo, Maharatna, Koushik and Zwolinski, Mark (2009) Physical Realizable Circuit Structure For Adaptive Frequency Hopf Oscillator. NEWCAS-TAISA'09, Toulouse, France. 27 - 30 Jun 2009.

Maache, Ahmed, Reeve, Jeff and Zwolinski, Mark (2009) Accelerating CMOS Device Model Evaluation Using Multi-FPGA Systems. Fifth UK Embedded Forum, Leicester, United Kingdom. 22 - 23 Sep 2009.

Maache, Ahmed, Reeve, Jeff and Zwolinski, Mark (2009) Optimising Physical Wires Usage in Mesh-based Multi-FPGA Systems using Partition Swapping. 21st International Conference on Microelectronics (ICM09), Morocco. 19 - 22 Dec 2009.

Ahmadi, Arash and Zwolinski, Mark (2010) A Modified Izhikevich Model For Circuit Implementation of Spiking Neural Networks. LASCAS 2010: IEEE Latin American Symposium on Circuit and system, Brasil. 24 - 26 Feb 2010.

Al-Sulaifanie, Ahmed, Ahmadi, Arash and Zwolinski, Mark (2010) Very Large Scale Integration Architecture for Integer Wavelet Transform. IET Computers & Digital Techniques, 4 (6), 471-483.

Wang, Yangang and Zwolinski, M (2008) Impact of NBTI on the Performance of 35nm CMOS Digital Circuits. In 9th International Conference on Solid-State and Integrated-Circuit Technology, 2008. ICSICT 2008. IEEE. pp. 440-443 . (doi:10.1109/ICSICT.2008.4734569).

Sokolovic, M, Litovski, V and Zwolinski, M (2009) Efficient and realistic statistical worst case delay computation using VHDL. Electrical Engineering, 91 (4-5), 197-210.

Merrett, Michael, Wang, Yangang, Zwolinski, Mark, Maharatna, Koushik and Alioto, Massimo (2010) Design Metrics for RTL level estimation of delay variability due to intradie (random) variations. 2010 IEEE International Symposium on Circuits and Systems, Paris, Paris, France. 30 May - 02 Jun 2010. (doi:10.1109/ISCAS.2010.5537133).

Nechma, Tarek, Zwolinski, Mark and Reeve, Jeff (2010) Parallel sparse matrix solver for direct circuit simulations on FPGAs. 2010 IEEE International Symposium on Circuits and Systems, Paris, Paris, France. 30 May - 02 Jun 2010. (doi:10.1109/ISCAS.2010.5537195).

Zwolinski, Mark (2010) Multi-Threaded Circuit Simulation using OpenMP. LASCAS 2010: IEEE Latin American Symposium on Circuits and Systems, Brasil. 24 - 26 Feb 2010.

Brown, Andrew D., Furber, Steven B., Reeve, Jeff S., Wilson, Peter R., Zwolinski, Mark, Chad, John E., Plana, Luis and Lester, David R. (2010) A communication infrastructure for a million processor machine. Proceedings of the 7th ACM international conference on Computing frontiers. pp. 75-76 .

Ahmadi, Arash and Zwolinski, Mark (2011) Fixed-point multiplication: a probabilistic bit-pattern view. Microelectronics Reliability, 51 (4), 790-796. (doi:10.1016/j.microrel.2010.11.011).

Ahmadi, Arash, Mangieri, Eduardo, Maharatna, Koushik, Dasmahapatra, Srinandan and Zwolinski, Mark (2011) On the VLSI implementation of adaptive-frequency hopf oscillator. IEEE Transactions on Circuits and Systems I: Regular Papers, 58 (7), 1076-1088. (doi:10.1109/TCSI.2010.2092070).

Bushager, Aisha and Zwolinski, Mark (2010) Modelling Smart Card Security Protocols in SystemC TLM. Embedded and Ubiquitous Computing (EUC), 2010 IEEE/IFIP 8th International Conference on. pp. 637-643 .

Suresh, L., Rameshan, N., Gaur, M.S., Zwolinski, M. and Laxmi, V. (2011) Acceleration of Functional Validation using GPGPU. Proceedings of the 2011 IEEE 6th International Workshop on Electronic Design, Test and Application (DELTA 2011). pp. 211-216 .

Wang, Yangang, Merrett, M. and Zwolinski, M. (2010) Statistical power analysis for nanoscale CMOS. 2010 International Conference on Signals and Electronic Systems (ICSES). pp. 201-204 .

Baddam, K. and Zwolinski, M. (2007) Evaluation of dynamic voltage and frequency scaling as a differential power analysis countermeasure. 2007 20th International Conference on VLSI Design.

Merrett, M., Asenov, P., Wang, Yangang, Zwolinski, M., Reid, D., Millar, C., Roy, S., Liu, Zhenyu, Furber, S. and Asenov, A. (2011) Modelling circuit performance variations due to statistical variability: Monte Carlo static timing analysis. Design, Automation Test in Europe Conference Exhibition (DATE), 2011. 1 -4 .

Kulakov, Anton and Zwolinski, Mark (2011) Reducing the active paths interference in the Chialvo-Bak “Minibrain” Model. International Journal of Modeling and Optimization, 2 (6), 734-737. (doi:10.7763/IJMO.2012.V2.222).

Kulakov, Anton and Zwolinski, Mark (2011) Combining Hebbian and Reinforcement Methods in a Biologically-inspired Adaptive Agent. 3rd International Conference on Machine Learning and Computing, Singapore.

Ghahroodi, Massoud, Zwolinski, Mark and Ozer, Emre (2011) Radiation hardening by design: a novel gate level approach. In Proceedings of NASA/ESA Conference on Adaptive Hardware and Systems (AHS). Institute of Electrical and Electronics Engineers. pp. 74-79 . (doi:10.1109/AHS.2011.5963919).

Soleimani, Hamid, Ahmadi, Arash, Bavandpour, Mohammad, Amirsoleimani, A. Ali and Zwolinski, Mark (2012) A large scale digital simulation of spiking neural networks (SNN) on Fast SystemC Simulator. In Proceedings of UKSim 14th International Conference on Computer Modelling and Simulation. IEEE Computer Society. pp. 25-30 . (doi:10.1109/UKSim.2012.105).

Wang, Yangang, Zwolinski, Mark, Appleby, Andrew, Scoones, Mark, Caldwell, Sonia, Azam, Touqeer, Hurat, Philippe and Pitchford, Chris (2012) Analysis, quantification, and mitigation of electrical variability due to layout dependent effects in SOC designs. Mason, Mark E. (ed.) In Design for Manufacturability through Design-Process Integration VI. vol. 8327, The International Society for Optical Engineering. 83270F . (doi:10.1117/12.916458).

Gidra, H., Haque, I., Kumar, N.P., Sargurunathan, M., Gaur, M.S., Laxmi, V., Zwolinski, Mark and Singh, V. (2011) Parallelizing TUNAMI-N1 Using GPGPU. In Proceedings of the 2011 IEEE International Conference on High Performance Computing and Communications. Institute of Electrical and Electronics Engineers. pp. 845-850 . (doi:10.1109/HPCC.2011.120).

Mokhtarpour Ghahroodi, M.M., Zwolinski, M., Wong, R. and Wen, S. (2011) Timing vulnerability factors of ultra deep-sub-micron CMOS. 2011 16th IEEE European Test Symposium (ETS), Trondheim, Norway. 22 - 26 May 2011. p. 202 . (doi:10.1109/ETS.2011.40).

Wang, Yangang, Zwolinski, Mark, Appleby, Andrew, Scoones, Mark, Caldwell, Sonia, Azam, Touqeer, Hurat, Philippe and Pitchford, Chris (2012) Managing variability in 40NM and 28NM designs. Electronics World, 118 (1912), 34-39.

Lin, Yang and Zwolinski, Mark (2012) SETTOFF : a fault tolerant flip-flop for building cost-efficient reliable systems. IOLTS 2012: 18th IEEE International On-Line Testing Symposium, Sitges, Spain. 26 - 28 Jun 2012.

Li, L., Maunder, R.G., Al-Hashimi, B.M., Zwolinski, M. and Hanzo, L. (2013) Energy-conscious turbo decoder design: a joint signal processing and transmit energy reduction approach. IEEE Transactions on Vehicular Technology, 62 (8), 3627-3638.

Andrejević Stošović, Miona, Milić, Miljana, Zwolinski, Mark and Litovski, Vančo (2013) Oscillation-based analog diagnosis using artificial neural networks based inference mechanism. Computers & Electrical Engineering, 39 (2). (doi:10.1016/j.compeleceng.2012.12.006).

Mohammadat, Mohamed Tagelsir, Zain Ali, Noohul Basheer, Hussin, Fawnizu Azmadi and Zwolinski, Mark (2014) A multi-voltage aware resistive open fault model. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22 (2), 220-231. (doi:10.1109/TVLSI.2013.2243926).

Shukla, V., Ali, N.B.Z., Hussin, F.A. and Zwolinski, M. (2013) On testing of MEDA based digital microfluidics biochips. Quality Electronic Design (ASQED), 2013 5th Asia Symposium on, Penang, Malaysia. 25 - 27 Aug 2013. pp. 60-65 .

Amirsoleimani, A., Soleimani, H., Ahmadi, A., Bavandpour, M. and Zwolinski, M. (2013) Modeling the effect of process variations on the delay and power of the digital circuit using fast simulators. 2013ICEE: 21st Iranian Conference on Electrical Engineering, Mashhad, Iran, Islamic Republic of. 13 - 15 May 2013. pp. 1-6 .

Lam, K.C.A. and Zwolinski, M. (2013) Circuit simulation using state space equations. 9th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME 2013), Villach, Austria. 23 - 26 Jun 2013. pp. 177-180 .

Soleimani, H., Maleki, M.A., Ahmadi, A., Bavandpour, M., Maharatna, K. and Zwolinski, M. (2012) A GPU based simulation platform for adaptive frequency hopf oscillators. 2012 20th Iranian Conference on Electrical Engineering (ICEE), Tehran, Iran, Islamic Republic of. pp. 884-888 . (doi:10.1109/IranianCEE.2012.6292478).

Wang, Wei and Zwolinski, M. (2013) An improved instruction-level energy model for RISC microprocessors. 9th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME 2013), Villach, Austria. 24 - 27 Jun 2013. pp. 349-352 .

Merrett, Michael and Zwolinski, Mark (2014) Monte Carlo Static Timing Analysis with statistical sampling. Microelectronics Reliability, 54 (2), 464-474. (doi:10.1016/j.microrel.2013.10.016).

Wang, Wei and Zwolinski, Mark (2014) An improved instruction-level power model for ARM11 microprocessor. High Performance Energy Efficient Embedded Systems (HIP3ES), Berlin, Germany. 23 Jan 2013. 7 pp .

Lin, Yang, Zwolinski, Mark and Halak, Basel (2014) A Low-Cost Radiation Hardened Flip-Flop. Design, Automation and Test in Europe (DATE).

Lin, Yang, Zwolinski, Mark and Halak, Basel (2014) An Energy-Efficient Radiation Hardened Register File Architecture for Reliable Microprocessors. Silicon Errors in Logic - System Effects (SELSE).

Gaur, Manoj Singh, Laxmi, Vijay, V., Lakshminarayanan, Cahndra, Kamal and Zwolinski, Mark (2011) Acceleration of packet filtering using Gpgpu. SIN2011: 4th International Conference on Security of Information and Networks, Sydney, Australia. 14 - 19 Nov 2011. pp. 227-230 .

Lin, Yang, Zwolinski, Mark and Halak, Basel (2014) An energy efficient radiation hardened register file architecture. Designing with Uncertainty - Opportunities & Challenges Workshop, York, United Kingdom. 17 - 19 Mar 2014. 3 pp .

Qi, Ji and Zwolinski, Mark (2014) Efficient simulation and modelling of non-rectangular NoC topologies. DATE: Design, Automation, & Test in Europe, Dresden, Germany. 24 - 28 Mar 2014. (doi:10.7873/DATE2014.298).

Kumar, M., Laxmi, V., Gaur, M.S., Ko, S.-B. and Zwolinski, M. (2014) CARM: congestion adaptive routing method for on chip networks. 27th International Conference on Design and 13th International Conference on Embedded Systems, 2014, , Mumbai, India. 05 - 09 Jan 2014. pp. 240-245 . (doi:10.1109/VLSID.2014.48).

Mohammadat, M.T., Ali, N.B.Z., Hussin, F.A. and Zwolinski, M. (2015) Resistive open faults detectability analysis and implications for testing low power nanometric ICs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23 (3), 580-583. (doi:10.1109/TVLSI.2014.2312357).

Nechma, T. and Zwolinski, M. (2014) Parallel sparse matrix solution for circuit simulation on FPGAs. IEEE Transactions on Computers, 64 (4), 1090-1103, [6747987]. (doi:10.1109/TC.2014.2308202).

Kumar, Manoj, Laxmi, Vijay, Gaur, Manoj, Daneshtalab, Masoud, Ko, Seok-Bum and Zwolinski, Mark (2014) Highly adaptive and congestion-aware routing for 3D NoCs. Proceedings of the 24th edition of the Great Lakes Symposium on VLSI (GLSVLSI '14), Houston, United States. 20 - 22 May 2014. pp. 97-98 . (doi:10.1145/2591513.2591581).

Lin, Yang and Zwolinski, Mark (2014) A cost-efficient self-checking register architecture for radiation hardened designs. International Symposium on Circuits and Systems, Melbourne, Australia. 31 May - 04 Jun 2014.

Nawi, Illani Mohd, Halak, Basel and Zwolinski, M. (2015) Reliability Analysis of Comparators. DATE Workshop: Designing with Uncertainty - Opportunities & Challenges.

Nawi, Illani Mohd, Halak, Basel and Zwolinski, Mark (2015) Analysis of the Reliability of Comparator circuits. IEEE PRIME, Glasgow City, United Kingdom.

MISPAN, Mohd Syafiq, Halak, Basel, Chen, Zufu and Zwolinski, Mark (2015) TCO-PUF: A Subthreshold Physical Unclonable Function. IEEE PRIME, Glasgow City, United Kingdom.

Bello, Ibrahim A., Halak, Basel, El-Hajjar, Mohammed and Zwolinski, Mark (2015) VLSI Implementation of a Scalable K-best MIMO Detector. The 15th International Symposium on Communications and Information Technologies (ISCIT 2015), Japan, Japan. (doi:10.1109/ISCIT.2015.7458362).

Abbas, Haider, Halak, Basel and Zwolinski, Mark (2015) An Application-Specific NBTI Ageing Analysis Method. International Workshop on CMOS Variability, Rua da Fonte do Boi, 216 - Rio Vermelho, Bahia,, Brazil.

Lin, Yang, Zwolinski, Mark and Halak, Basel (2016) A low-cost, radiation-hardened method for pipeline protection in microprocessors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24 (5), 1688-1701. (doi:10.1109/TVLSI.2015.2475167).

Thapliyal, Himanshu and Zwolinski, Mark (2006) Reversible logic to cryptographic hardware: a new paradigm. 49th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS '06), San Juan, United States. 05 - 08 Aug 2006. 5 pp .

Thapliyal, Himanshu, Srinivas, M.B. and Zwolinski, Mark (2005) A beginning in the reversible logic synthesis of sequential circuits. Military and Aerospace Applications of Programmable Devices and Technologies International Conference (MAPLD), Washington, United States. 06 - 08 Sep 2005.

Kumar, Manoj, Gaur, Manoj Singh, Laxmi, Vijay, Daneshtalab, Masoud, Zwolinski, Mark and Ko, Seok-Bum (2015) A novel highly adaptive routing for networks-on-chip. Electronics Letters, 1-2. (doi:10.1049/el.2015.1024).

Bishnoi, Rimpy, Laxmi, Vijay, Gaur, Manoj Singh and Zwolinski, Mark (2015) Resilient Routing Implementation in 2D Mesh NoC. Microelectronics Reliability. (doi:10.1016/j.microrel.2015.11.003).

Bello, Ibrahim, Halak, Basel, El-Hajjar, Mohammed and Zwolinski, Mark (2016) A survey of VLSI implementations of tree search algorithms for MIMO detection. Circuits Systems and Signal Processing, 35 (10), 3644-3674. (doi:10.1007/s00034-015-0218-y).

Nawi, Illani, Halak, Basel and Zwolinski, Mark (2016) Ageing Impact on a High Speed Voltage Comparator with Hysteresis. Workshop on Early Reliability Modeling for Aging and Variability in Silicon Systems.

Haider, Abbas, Halak, Basel and Zwolinsk, Mark (2016) Static Aging Analysis Using 3-Dimensional Delay Library. Workshop on Early Reliability Modeling for Aging and Variability in Silicon Systems.

Sai, Gaole, Halak, Basel and Zwolinski, Mark (2016) Multi-Path Ageing Sensor for Cost-efficient Delay-Fault Prediction. Workshop on Early Reliability Modeling for Aging and Variability in Silicon Systems.

Nawi, Illani Mohd, Halak, Basel and Zwolinski, Mark (2016) The influence of hysteresis voltage on single event transients in a 65nm CMOS high speed comparator. 21st IEEE European Test Symposium. (doi:10.1109/ETS.2016.7519300).

Mispan, Mohd, Halak, Basel and Zwolinski, Mark (2016) NBTI analysis on PUF-based differential architectures. 22nd IEEE International Symposium on On-Line Testing and Robust System Design. (In Press)

Halak, Basel, Zwolinski, Mark and Mispan, Mohd (2016) Overview of PUF-based hardware security solutions for the Internet of Things. 2016 IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS Abu Dhabi 2016), Abu Dhabi, United Arab Emirates. 15 - 18 Oct 2016. (In Press)

Halak, Basel and Zwolinski, Mark (2016) Hardware-based security solutions for the Internet of Things using physical unclonable functions. 2016 IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS Abu Dhabi 2016), Abu Dhabi, United Arab Emirates. 15 - 18 Oct 2016. (In Press)

Mispan, Mohd, Zwolinski, Mark and Halak, Basel (2016) SRAM-PUF Based on Selective Power-Up and Non-Destructive Scheme. 17th International Workshop on Microprocessor/SoC Test and Verification (MTV 2016), United States, United States. (In Press)

Abbas, Haider, Zwolinski, Mark and Halak, Basel (2016) NBTI and PBTI Mitigation Techniques by Architectural Anti-Aging Patterns. 17th International Workshop on Microprocessor/SoC Test and Verification (MTV 2016), United States, United States. (In Press)

Gupta, Niyati, Sharma, Ashish, Laxmi, Vijay, Gaur, Manoj Singh, Zwolinski, Mark and Bishnoi, Rimpy (2016) σ n LBDR: generic congestion handling routing implementation for two-dimensional mesh network-on-chip. IET Computers & Digital Techniques, 10 (5), 226-232. (doi:10.1049/iet-cdt.2015.0196).

Bin Ramlee, Radi Husin and Zwolinski, Mark (2016) Using Iddt current degradation to monitor ageing in CMOS circuits. International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS 2016), Bremen, Germany. 20 - 22 Sep 2016. pp. 200-204 . (doi:10.1109/PATMOS.2016.7833688).

Mispan, Mohd Syafiq, Halak, Basel and Zwolinski, Mark (2016) NBTI aging evaluation of PUF-based differential architectures. In 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS). IEEE.. (doi:10.1109/IOLTS.2016.7604680).

Sengupta, Anirban, Mohanty, Saraju P., Lombardi, Fabrizio and Zwolinski, Mark (2016) IEEE Access Special Section Editorial: Security and reliability aware system design for mobile computing devices. IEEE Access, 4, 2976-2980, [7505461]. (doi:10.1109/ACCESS.2016.2580465).

Vinco, Sara, Lora, Michele and Zwolinski, Mark (2016) SystemC-AMS simulation of conservative behavioral descriptions. In, Drechsler, Rolf and Wille, Robert (eds.) Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from FDL 2015. (Lecture Notes in Electrical Engineering, 385) pp. 151-173. (doi:10.1007/978-3-319-31723-6_7).

Halak, Basel, Zwolinski, Mark and Mispan, M. Syafiq (2017) Overview of PUF-Based hardware security solutions for the internet of things. In 2016 IEEE 59th International Midwest Symposium on Circuits and Systems, MWSCAS 2016. IEEE. 4 pp . (doi:10.1109/MWSCAS.2016.7870046).

Woo, Lai Leng, Halak, Basel and Zwolinski, Mark (2017) Hardware performance counters for system reliability monitoring. In 2nd International Verification and Security Workshop: IVSW 2017. IEEE.. (doi:10.1109/IVSW.2017.8031548).

Mispan, Mohd Syafiq, Halak, Basel and Zwolinski, Mark (2017) Lightweight obfuscation techniques for modeling attacks resistant PUFs. In 2nd International Verification and Security Workshop: IVSW 2017. IEEE.. (doi:10.1109/IVSW.2017.8031539).

Duan, Shengyu, Halak, Basel and Zwolinski, Mark (2017) An ageing-aware Digital Synthesis Approach. In 14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design : SMACD 2017. IEEE.. (doi:10.1109/SMACD.2017.7981556).

Fraccoli, Enrico, Stefanni, Francesco, Fummi, Franco and Zwolinski, Mark (2019) Fault analysis in analog circuits through language manipulation and abstraction. Große, D., Vinco, S. and Patel, H. (eds.) In Languages, Design Methods, and Tools for Electronic System Design. vol. 530, Springer. pp. 89-105 . (doi:10.1007/978-3-030-02215-0_5).

Sai, Gaole, Halak, Basel and Zwolinski, Mark (2017) A cost-efficient delay-fault monitor. In IEEE International Symposium on Circuits and Systems: ISCAS 2017. IEEE. 4 pp . (doi:10.1109/ISCAS.2017.8050830).

Sai, Gaole, Halak, Basel and Zwolinski, Mark (2018) Multi-path ageing sensor for cost-efficient delay fault prediction. IEEE Transactions on Circuits and Systems Part II: Analog and Digital Signal Processing, 65 (4), 491-495. (doi:10.1109/TCSII.2017.2732028).

Zwolinski, Mark, Kunz, Wolfgang, Svarstad, Kjetil and Brown, Andrew (2016) The European Masters in Embedded Computing Systems (EMECS). In 2016 11th European Workshop on Microelectronics Education (EWME). IEEE. 6 pp . (doi:10.1109/EWME.2016.7496462).

Abbas, Haider Muhi, Halak, Basel and Zwolinski, Mark (2017) BTI mitigation by anti-ageing software patterns. Microelectronics Reliability, 79 (12), 79-90, [MR-D-16-00764R4]. (doi:10.1016/j.microrel.2017.10.009).

Duan, Shengyu, Zwolinski, Mark and Halak, Basel (2018) Lifetime reliability-aware digital synthesis. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26 (11), 2205-2216. (doi:10.1109/TVLSI.2018.2861820).

Woo, Lai Leng, Zwolinski, Mark and Halak, Basel (2018) Early detection of system-level anomalous behaviour using hardware performance counters. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). vol. 2018-January, Institute of Electrical and Electronics Engineers Inc. pp. 485-490 . (doi:10.23919/DATE.2018.8342057).

Bello, Ibrahim A., Halak, Basel, El-Hajjar, Mohammed and Zwolinski, Mark (2018) Hardware implementation of a low-power K-Best MIMO detector based on a hybrid merge network. In 2018 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS). IEEE.. (doi:10.1109/PATMOS.2018.8464169).

Zwolinski, Mark (2009) Digital system design with SystemVerilog (Prentice Hall Modern Semiconductor Design Series), Upper Saddle River, US. Prentice Hall, 408pp.

Duan, Shengyu (2018) Dataset for Lifetime Reliability-aware Digital Synthesis. University of Southampton doi:10.5258/SOTON/D0559 [Dataset]

Mispan, Mohd Syafiq, Su, Haibo, Zwolinski, Mark and Halak, Basel (2018) Cost-efficient design for modeling attacks resistant PUFs. In Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018. vol. 2018-January, Institute of Electrical and Electronics Engineers Inc. pp. 467-472 . (doi:10.23919/DATE.2018.8342054).

Mispan, Mohd Svafiq, Duan, Shengyu, Halak, Basel and Zwolinski, Mark (2018) A reliable PUF in a dual function SRAM. In 2018 IEEE 28th International Symposium on Power and Timing Modeling, Optimization and Simulation, PATMOS 2018. Institute of Electrical and Electronics Engineers Inc. pp. 76-81 . (doi:10.1109/PATMOS.2018.8464143).

Su, Haibo, Zwolinski, Mark and Halak, Basel (2018) A machine learning attacks resistant two stage physical unclonable functions design. In 2018 IEEE 3rd International Verification and Security Workshop, IVSW 2018. Institute of Electrical and Electronics Engineers Inc. pp. 52-55 . (doi:10.1109/IVSW.2018.8494839).

Duan, Shengyu, Halak, Basel and Zwolinski, Mark (2018) Cell flipping with distributed refresh for cache ageing minimization. In Proceedings - 2018 IEEE 27th Asian Test Symposium, ATS 2018. vol. 2018-October, IEEE Computer Society Press. pp. 98-103 . (doi:10.1109/ATS.2018.00029).

Bello, Ibrahim, Halak, Basel, El-Hajjar, Mohammed and Zwolinski, Mark (2019) VLSI implementation of a fully-pipelined K-best MIMO detector with successive interference cancellation. Circuits, Systems, and Signal Processing, 1-23. (doi:10.1007/s00034-019-01079-0).

Omitola, Temitope, Downes, Jonathan, Wills, Gary, Zwolinski, Mark and Butler, Michael (2018) Securing navigation of unmanned maritime systems. Schillai, Sophia M. and Townsend, Nicholas C. (eds.) In Proceedings of the 11th International Robotic Sailing Conference: Southampton, United Kingdom, August 31st - September 1st, 2018. vol. 2331, CEUR-WS. pp. 53-62 .

Mispan, Mohd Syafiq, Duan, Shengyu, Halak, Basel and Zwolinski, Mark (2019) A reliable PUF in a dual function SRAM. Integration the VLSI Journal, 68, 12-21. (doi:10.1016/j.vlsi.2019.06.001).

Yadav, Sonal, Laxmi, V., Kapoor, Hemangee K., Gaur, Manoj Singh and Zwolinski, Mark (2019) A power efficient crossbar arbitration in multi-NoC for multicast and broadcast traffic. In 2018 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS). IEEE. pp. 243-248 . (doi:10.1109/iSES.2018.00060).

Woo, Lai Leng (2019) Hardware Performance Counters Data for Prediction of Potential Failure in Embedded Systems. University of Southampton doi:10.5258/SOTON/D1147 [Dataset]

Abbas, Haider Muhi, Zwolinski, Mark and Halak, Basel (2020) Learning-based BTI stress estimation and mitigation in multi-core processor systems. Microprocessors and Microsystems, 81. (doi:10.1016/j.micpro.2020.103713).

Su, Haibo, Halak, Basel and Zwolinski, Mark (2019) Two-stage architectures for resilient lightweight PUFs. In 2019 IEEE 4th International Verification and Security Workshop (IVSW). IEEE.. (doi:10.1109/IVSW.2019.8854400).

Mispan, Mohd Syafiq, Halak, Basel and Zwolinski, Mark (2021) A survey on the susceptibility of PUFs to invasive, semi-invasive and noninvasive attacks: challenges and opportunities for future directions. Journal of Circuits, Systems and Computers, 30 (11), [2130009]. (doi:10.1142/S0218126621300099).

Contact

Share this profile FacebookTwitterWeibo
Telephone:
07511703519
Email:
cn1n18@soton.ac.uk

 BEng, MSc

I am a PhD student at the Agents Interaction and Complexity Group, Electronics and Computer Science at the University of Southampton. Prior to Southampton, I obtained MSc degree in Robotics from King's College London.

Research

Research interests

My project aims to develop inexpensive swarm robot platforms, designed especially for usage outdoors with the ability to cover areas large enough to be of value in outdoor applications (in the order of km outdoors instead of cm on a table-top), and capable of efficiently navigating uneven outdoor terrains.

Publications

Niu, Chaoyue (2020) Low-viewpoint forest depth dataset for sparse rover swarms. Zenodo doi:10.5281/zenodo.3945526 [Dataset]

Niu, Chaoyue, Tarapore, Danesh and Zauner, Klaus-Peter (2020) Low-viewpoint forest depth dataset for sparse rover swarms. In 2020 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS). IEEE. pp. 8035-8040 . (doi:10.1109/IROS45743.2020.9341435).

Contact

Share this profile FacebookTwitterWeibo

Publications

Yong, Sheng, Owen, John, Tudor, Michael and Beeby, Stephen (2016) Integrated flexible solid-state supercapacitor fabricated in a single fabric layer. University of Southampton doi:10.5258/SOTON/403405 [Dataset]

Yong, Sheng, Owen, John R. and Beeby, Stephen (2017) Dataset for Solid-State Supercapacitor Fabricated in a Single Woven Textile Layer for E-Textiles Applications. University of Southampton [Dataset]

Yong, Sheng (2012) Printed fabric supercapacitor. University of Southampton, Masters Thesis, 50pp.

Yong, Sheng, Owen, John R. and Beeby, Stephen (2018) Solid-state supercapacitor fabricated in a single woven textile layer for e-textiles applications. Advanced Engineering Materials, 20 (5), [1700860]. (doi:10.1002/adem.201700860).

Liu, Jingqi, Li, Yi, Yong, Sheng, Arumugam, Sasikumar and Beeby, Stephen (2019) Flexible printed monolithic-structured solid-state dye sensitized solar cells on woven glass fibre textile for wearable energy harvesting applications. Scientific Reports, 9 (1362). (doi:10.1038/s41598-018-37590-8).

Shi, Junjie, Yong, Sheng and Beeby, Stephen (2018) An easy to assemble ferroelectret for human body energy harvesting. Smart Materials and Structures, 1-11. (doi:10.1088/1361-665X/aabdbc).

Wagih, Mahmoud, Wei, Yang, Yong, Sheng and Beeby, Stephen (2018) Connecting and powering flexible IoT, an insole case study. Arm Research Summit 2018, Robinson College, Cambridge, United Kingdom. 17 - 19 Sep 2018.

Yong, Sheng, Shi, Junjie and Beeby, Stephen (2019) Wearable textile power module based on flexible ferroelectret and supercapacitor. Energy Technology, 7 (5), [1800938]. (doi:10.1002/ente.201800938).

Yong, Sheng, Hillier, Nicholas, David George and Beeby, Stephen (2019) The influence of textile substrate on the performance of multilayer fabric supercapacitors. Journal of Industrial Textiles. (doi:10.1177/1528083719865038).

Liu, Meijing, Arumugam, Sasikumar, Li, Yi, Yong, Sheng, White, Neil, Yang, Kai and Beeby, Steve (2019) Printable piezoresistive carbon formulation for stretch and flex sensors in e-textile applications. In 2019 IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS). Institute of Electrical and Electronics Engineers Inc.. (doi:10.1109/FLEPS.2019.8792236).

Beeby, Stephen, Torah, Russel, Tudor, John, Grabham, Neil, Yong, Sheng, Arumugam, Sasikumar, Li, Yi and Shi, Junjie (2019) Energy harvesting power supplies for electronic textiles. In FLEPS 2019 - IEEE International Conference on Flexible and Printable Sensors and Systems, Proceedings. Institute of Electrical and Electronics Engineers Inc.. (doi:10.1109/FLEPS.2019.8792260).

Li, Yi, Hillier, Nick, Yong, Sheng, Arumugam, Sasikumar, Craig, Chris, Harrowven, David and Beeby, Steve (2019) Water activated primary textile battery. In FLEPS 2019 - IEEE International Conference on Flexible and Printable Sensors and Systems, Proceedings. Institute of Electrical and Electronics Engineers Inc.. (doi:10.1109/FLEPS.2019.8792274).

Wagih, Mahmoud, Hillier, Nicholas, Yong, Sheng, Weddell, Alex S. and Beeby, Steve (2020) Wearable e-textile wireless RF power supply based on a textile supercapacitor and a flexible rectenna filament. IEEE FLEPS 2020: IEEE International Conference on Flexible and Printable Sensors and Systems, , Manchester, United Kingdom. 16 - 19 Aug 2020. (In Press)

Hillier, Nicholas, Yong, Sheng and Beeby, Stephen (2020) The good, the bad and the porous: A review of carbonaceous materials for flexible supercapacitor applications. Energy Reports, 6 (Supplement 5), 148-156. (doi:10.1016/j.egyr.2020.03.019).

Hillier, Nicholas David George, Yong, Sheng and Beeby, Stephen (2020) Calendar life of textile supercapacitors. In 2019 19th International Conference on Micro and Nanotechnology for Power Generation and Energy Conversion Applications (PowerMEMS). IEEE. 5 pp . (doi:10.1109/PowerMEMS49317.2019.82063200604).

Li, Yi, Yong, Sheng, Hillier, Nicholas David George, Arumugam, Sasikumar and Beeby, Stephen (2020) Screen printed flexible water activated battery on woven cotton textile as a power supply for e-textile applications. IEEE Access, 8, 206958 - 206965, [9260210]. (doi:10.1109/ACCESS.2020.3038157).

Yong, Sheng, Hillier, Nick and Beeby, Stephen (2019) Integrated flexible and rechargeable textile battery fabricated in a standard cotton fabric. PowerMEMS 2019: International Conference on Micro and Nanotechnology for Power generation and Energy Conversion Applications, , Krakow, Poland. 03 - 06 Dec 2019.

Hillier, Nicholas David George, Yong, Sheng and Beeby, Stephen (2021) Culinary inspired electrolytes for textile supercapacitors. Energy Reports. (Submitted)

Wagih, Mahmoud, Hillier, Nicholas, Yong, Sheng, Weddell, Alex S. and Beeby, Steve (2021) RF-powered wearable energy harvesting and storage module based on e-textile coplanar waveguide rectenna and supercapacitor. IEEE Open Journal of Antennas and Propagation, 2, 302-314, [9354848]. (doi:10.1109/OJAP.2021.3059501).

Mohamed, Mahmoud (2021) Data suppporting "RF-Powered Wearable Energy Harvesting and Storage Module based on E-Textile Coplanar Waveguide Rectenna and Supercapacitor". University of Southampton doi:10.5258/SOTON/D1737 [Dataset]

Hillier, Nicholas, David George, Yong, Sheng, Cruden, Andrew and Beeby, Stephen (2021) Acetonitrile-free organic electrolyte for textile supercapacitor applications. Journal of the Electrochemical Society, 168 (8), [080520]. (doi:10.1149/1945-7111/ac1a58).

Yong, Sheng, Hillier, Nicholas, David George and Beeby, Stephen (2021) Phase inverted co-polymer membrane for the enhancement of textile supercapacitors. (Submitted)

Yong, Sheng, Liu, Meijing, Komolafe, Abiodun, Tudor, Michael and Yang, Kai (2021) Development of a screen printable carbon paste to achieve washable conductive textiles. 14 pp . (doi:10.3390/textiles1030022).

Yong, Sheng, Hillier, Nicholas, David George and Beeby, Stephen (2021) Flexible water activated battery on a polyester-cotton textile. E-Textiles 2021: International Conference on the Challenges, Opportunities, Innovations and Applications in Electronic Textiles, https://e-textilesconference.com/, Manchester, United Kingdom. 03 - 04 Nov 2021.

Wagih, Mahmoud, Yong, Sheng, Yang, Kai, Weddell, Alexander and Beeby, Steve (2021) Printed non-metallic textile-based carbon antenna for low-cost green wearable applications. In European Conference on Antennas and Propagation, 2022 (EuCAP). IEEE.. (In Press)

Amin, Aran, Huang, Ruomeng, Newbrook, Daniel, Sethi, Vikesh, Yong, Sheng, Beeby, Stephen and Nandhakumar, Iris S. (2022) Screen-printed bismuth telluride nanostructured composites for flexible thermoelectric applications. Journal of Physics: Energy, 4 (2), [024003]. (doi:10.1088/2515-7655/ac572e).

Yong, Sheng, Hillier, Nicholas, David George and Beeby, Stephen (2022) Fabrication of a flexible textile zinc-Ion battery in a single fabric layer. Frontiers in Electronics. (doi:10.3389/felec.2022.866527).

Yong, Sheng and Beeby, Stephen (2022) Flexible supercapacitor in textile for wearable electronics. Innovations in Large Area Electronics (InnoLAE) 2022, , Cambridge, United Kingdom. 22 - 24 Feb 2022.

Share this profile FacebookTwitterWeibo

Publications

Ramanan, Amirthalingam and Niranjan, Mahesan (2010) A One-pass Resource-Allocating Codebook for Patch-based Visual Object Recognition. IEEE Workshop on Machine Learning for Signal Processing, Kittilä, Finland. 28 - 31 Aug 2010.

Camargo, Renata and Niranjan, Mahesan (2008) Mining protein database using machine learning techniques. Journal of Integrative Bioinformatics, 5 (2), 1-10. (doi:10.1515/jib-2008-106).

Suwannaroj, Sujimarn and Niranjan, Mahesan (2008) Enhancing Automatic Construction of Gene Subnetworks by Integrating Multiple Sources of Information. Journal of Signal Processing Systems, 50 (3), 331-340.

Markovsky, Ivan and Niranjan, Mahesan (2010) Approximate low-rank factorization with structured factors. Computational Statistics and Data Analysis, 54, 3411-3420.

Milo, Marta, Cacciabue-Rivolta, Daniela, Kneebone, Adam, Van Doorninck, Hikke, Johnson, Claire, Lawoko-Kerali, Grace, Niranjan, Mahesan, Rivolta, Marcelo and Holley, Matthew (2009) Genomic Analysis of the Function of the Transcription Factor gata3 during Development of the Mammalian Inner Ear. PLoS ONE, 4 (9), e7144.

Tuna, Salih and Niranjan, Mahesan (2009) Classification with binary gene expressions. Journal of Biomedical Science and Engineering, 2 (6), 390-399.

Tuna, Salih and Niranjan, Mahesan (2009) Cross-Platform Analysis with Binarized Gene Expression Data. Pattern Recognition in Bioinformatics, Sheffield. pp. 439-449 .

Wieser, Daniela and Niranjan, Mahesan (2009) Remote homology detection using a kernel method that combines sequence and secondary-structure similarity scores. In Silico Biology, 9, 9.

Farran, Bassam, Ramanan, Amirthalingam and Niranjan, Mahesan (2009) Sequential Hierarchical Pattern Clustering. Pattern Recognition in Bioinformatics, Sheffield. pp. 79-88 .

Liu, Wei and Niranjan, Mahesan (2009) Matching Models to Data in Modelling Morphogen Diffusion. Machine Learning in Systems Biology, http://mlsb09.ijs.si/files/MLSB09-Proceedings.pdf#page=67.

Ni, Yizhao, Saunders, Craig, Szedmak, Sandor and Niranjan, Mahesan (2009) Structure learning for natural language processing. In 2009 IEEE International Workshop on Machine Learning for Signal Processing. IEEE. 6 pp . (doi:10.1109/MLSP.2009.5306193).

Xu, Weichao, Hung, Y.S., Niranjan, M. and Shen, M.F. (2010) Asymptotic mean and variance of Gini correlation for bivariate normal samples. IEEE Transactions on Signal Processing, 58 (2), 522-534. (doi:10.1109/TSP.2009.2032448).

Ni, Yizhao, Niranjan, Mahesan, Saunders, Craig and Szedmak, Sandor (2010) Distance phrase reordering for MOSES - User Manual and Code Guide s.n.

Ni, Yizhao, Saunders, Craig, Szedmak, Sandor and Niranjan, Mahesan (2009) Handling phrase reordering for machine translation. the joint conference of the 47th Annual Meeting of the Association for Computational Linguistics and the 4th International Joint ConConference Processing, Singapore.

Tuna, Salih and Niranjan, Mahesan (2010) Reducing the algorithmic variability in transcriptome-based inference. Bioinformatics, 26 (9), 1185-1191.

Yuan, Ke and Niranjan, Mahesan (2010) Estimating a State-Space Model from Point Process Observations: A Note on Convergence. Neural Computation, 22 (8), 1993-2001.

Tuna, Salih and Niranjan, Mahesan (2010) Inference from Low Precision Transcriptome Data Representation. Journal of Signal Processing Systems, 50 (3), 267-279.

Ni, Yizhao, Saunders, Craig, Szedmak, Sandor and Niranjan, Mahesan (2010) The application of structured learning in natural language processing. Machine Translation.

Ramanan, Amirthalingam and Niranjan, Mahesan (2009) Resource-Allocating Codebook for Patch-based Face Recognition. Fourth International Conference on Industrial and Information Systems, Sri Lanka. pp. 28-31 .

Ramanan, Amirthalingam, Suppharangsan, Somjet and Niranjan, Mahesan (2007) Unbalanced Decision Trees for Multi-class Classification. IEEE - Second International Conference on Industrial and Information Systems, ICIIS 2007, Sri Lanka. 07 - 10 Aug 2007. pp. 291-294 .

Ni, Yizhao, Saunders, Craig, Szedmak, Sandor and Niranjan, Mahesan (2011) Exploitation of machine learning techniques in modelling phrase movements for machine translation. Journal of Machine Learning Research, 12, 1-30.

Zammit Mangion, Anderw, Yuan, Ke, Kadirkamanathan, Visakan, Niranjan, Mahesan and Sanguinetti, Guido (2011) Online variational inference for state-space models with point-process observations. Neural Computation, 23 (8), 1967-1999. (doi:10.1162/NECO_a_00156). (PMID:21521047)

Liu, Wei and Niranjan, Mahesan (2011) The Role of Regulated mRNA Stability in Establishing Bicoid Morphogen Gradient in Drosophila Embryonic Development. PLoS ONE, 6 (9), e24896.

Ramanan, Amirthalingam and Niranjan, Mahesan (2011) A Review of Codebook Models in Patch-Based Visual Object Recognition. JOURNAL OF SIGNAL PROCESSING SYSTEMS, DOI: 10.1007/s11265-011-0622-x.

Farran, Bassam, Saunders, Craig and Niranjan, Mahesan (2010) Machine Learning for Intrusion Detection: Modeling the Distribution Shift. IEEE Workshop on Machine Learning for Signal Processing, Kittilä, Finland. 28 - 31 Aug 2010.

Liu, Wei and Niranjan, Mahesan (2012) Gaussian process modelling for bicoid mRNA regulation in spatio-temporal Bicoid profile. Bioinformatics, 28 (3), 366-372. (doi:10.1093/bioinformatics/btr658).

Yuan, Ke, Girolami, Mark and Niranjan, Mahesan (2012) Markov chain Monte Carlo methods for state-space models with point process observations. Neural Computation, 24 (6), 1462-1486. (doi:10.1162/NECO_a_00281). (PMID:22364499)

Liu, Xin and Niranjan, Mahesan (2012) State and parameter estimation of the heat shock response system using Kalman and particle filters. Bioinformatics, 28 (11), 1501-1507. (doi:10.1093/bioinformatics/bts161). (PMID:22539674)

Preotiuc-Pietro, Daniel, Samangooei, Sina, Cohn, Trevor, Gibbins, Nicholas and Niranjan, Mahesan (2012) Trendminer: an architecture for real time analysis of social media text. 6th International AAAI Conference on Weblogs and Social Media (ICWSM-12), Dublin, Ireland. 04 - 06 Jun 2012. 5 pp .

Chen, Taihai, Mazomenos, Evangelos B., Maharatna, Koushik, Dasmahapatra, Srinandan and Mahesan, Niranjan (2013) On the trade-off of accuracy and computational complexity for classifying normal and abnormal ECG in remote CVD monitoring systems. In 2012 IEEE Workshop on Signal Processing Systems. IEEE Xplore. pp. 37-42 . (doi:10.1109/SiPS.2012.43).

Chen, Taihai, Mazomenos, Evangelos B., Maharatna, Koushik, Dasmahapatra, Srinandan and Niranjan, Mahesan (2013) Design of a low-power on-body ECG classifier for remote cardiovascular monitoring systems. IEEE Journal of Emerging and Selected Topics in Circuits and Systems, 3 (1), 75-85. (doi:10.1109/JETCAS.2013.2242772).

Takeda, Akiko, Niranjan, Mahesan, Gotoh, Jun-ya and Kawahara, Yoshinobu (2013) Simultaneous pursuit of out-of-sample performance and sparsity in index tracking portfolios. Computational Management Science, 10 (1), 21-49. (doi:10.1007/s10287-012-0158-y).

Hassan, A., Damper, R.I. and Niranjan, M. (2013) On acoustic emotion recognition: compensating for covariate shift. IEEE Transactions on Audio, Speech and Language Processing, 21 (7), 1458-1468. (doi:10.1109/TASL.2013.2255278).

Matthews, Tim, Nixon, Mark S. and Niranjan, Mahesan (2013) Enriching texture analysis with semantic data. IEEE Conference on Computer Vision and Pattern Recognition, Portland, United States. 24 - 26 Jun 2013.

Mundra, Piyushkumar A., Zheng, Jie, Niranjan, Mahesan, Welsch, Roy E. and Rajapakse, Jagath C. (2013) Inferring time-delayed gene regulatory networks using cross-correlation and sparse regression. Zhipeng, Cai, Eulenstein, Oliver, Janies, Daniel and Schwartz, Daniel (eds.) In Bioinformatics Research and Applications. vol. 7875, Springer Berlin Heidelberg. pp. 64-75 . (doi:10.1007/978-3-642-38036-5_10).

Samangooei, Sina, Hare, Jonathon, Dupplaw, David, Niranjan, Mahesan, Gibbins, Nicholas, Lewis, Paul H., Davies, Jamie, Jain, Neha and Preston, John (2013) Social Event Detection via sparse multi-modal feature selection and incremental density based clustering. MediaEval 2013 / Social Event Detection for Social Multimedia, Barcelona, Spain. (In Press)

Dharmagunawardhana, Chathurika, Mahmoodi, Sasan, Bennett, Michael and Niranjan, Mahesan (2014) Quantitative analysis of pulmonary emphysema using isotropic Gaussian Markov random fields. 9th International Conference on Computer Vision Theory and Applications, Lisbon, Portugal. 05 - 08 Jan 2014. pp. 44-53 .

Dharmagunawardhana, Chathurika, Mahmoodi, Sasan, Bennett, Michael and Niranjan, Mahesan (2014) An Inhomogeneous Bayesian Texture Model for Spatially Varying Parameter Estimation. 3rd International Conference on Pattern Recognition Applications and Methods, Angers, France. pp. 139-146 .

Alrajeh, Abdullah and Niranjan, Mahesan (2014) Bayesian reordering model with feature selection. ACL2014: The Ninth Workshop on Statistical Machine Translation, Baltimore, United States. 25 - 26 Jun 2014. pp. 477-485 .

Dharmagunawardhana, Chathurika, Mahmoodi, Sasan, Bennett, Michael and Niranjan, Mahesan (2014) Gaussian Markov random field based improved texture descriptor for image segmentation. Image and Vision Computing, 32 (11), 884-895. (doi:10.1016/j.imavis.2014.07.002).

Alrajeh, Abdullah and Niranjan, Mahesan (2014) Large-scale reordering model for statistical machine translation using dual multinomial logistic regression. Empirical Methods on Natural Language Processing 2014, Doha, Qatar. 24 - 29 Oct 2014.

Alrajeh, Abdullah, Takeda, Akiko and Niranjan, Mahesan (2014) Memory-efficient large-scale linear support vector machine. The 7th International Conference on Machine Vision, Milano, Italy. 19 - 21 Nov 2014.

Gunawardana, Yawwani and Niranjan, Mahesan (2013) Bridging the gap between transcriptome and proteome measurements identifies post-translationally regulated genes. Bioinformatics, 29 (23), 3060-3066. (doi:10.1093/bioinformatics/btt537). (PMID:24045772)

Alrajeh, Abdullah and Niranjan, Mahesan (2015) Scalable reordering models for SMT based on multiclass SVM. The Prague Bulletin of Mathematical Linguistics, 103 (1), 65-84. (doi:10.1515/pralin-2015-0004).

Gunawardana, Y., Fujiwara, S., Takeda, A., Woo, Jeongmin, Woelk, C.H. and Niranjan, Mahesan (2015) Outlier detection at the transcriptome-proteome interface. Bioinformatics, 31 (15), 2530-2536. (doi:10.1093/bioinformatics/btv182). (PMID:25819671)

Hare, Jonathon, Samangooei, Sina, Niranjan, Mahesan and Gibbins, Nicholas (2015) Detection of Social Events in Streams of Social Multimedia. International Journal of Multimedia Information Retrieval, 4 (4), 289-302. (doi:10.1007/s13735-015-0085-0).

Dharmagunaw, C., Mahmoodi, S., Bennett, M. and Niranjan, M. (2016) Rotation invariant texture descriptors based on Gaussian Markov random fields for classification. Pattern Recognition Letters, 69, 15-21. (doi:10.1016/j.patrec.2015.10.006).

Goehring, Tobias, Yang, Xin, Monaghan, Jessica, Wang, Shang, Niranjan, Mahesan and Bleeck, Stefan (2016) Speech enhancement based on artificial neural networks for hearing-impaired listeners using auditory inspired features. DGA-Jahrestagung German Society of Audiology, Hannover, Germany. 09 - 12 Mar 2016.

Chen, H., Guo, J., Mishra, S.K., Robson, P., Niranjan, M. and Zheng, J. (2015) Single-cell transcriptional analysis to uncover regulatory circuits driving cell fate decisions in early mouse development. Bioinformatics, 31 (7), 1060-1066. (doi:10.1093/bioinformatics/btu777).

Montesdeoca Bermudez, Luis and Niranjan, Mahesan (2016) Extending the feature set of a data-driven artificial neural network model of pricing financial option. 2016 IEEE Symposium Series on Computational Intelligence (IEEE SSCI 2016), Athens, Greece. 06 - 09 Dec 2016. 6 pp .

Squires, Steven, Prugel-Bennett, Adam and Niranjan, Mahesan (2017) Rank selection in non-negative matrix factorization using minimum description Length. Neural Computation, 29 (8), 2164-2176. (doi:10.1162/NECO_a_00980).

Squires, Steven, Edward, Montesdeoca Bermudez, Luis, Jairo, Prugel-Bennett, Adam and Niranjan, Mahesan (2017) Non-negative matrix factorization with exogenous inputs for modeling financial data. In Lecture Notes in Computer Science. vol. 10635, Springer. pp. 873-881 . (doi:10.1007/978-3-319-70096-0_89).

Squires, Steven, Edward, Ewing, Robert, Prugel-Bennett, Adam and Niranjan, Mahesan (2017) A method of integrating spatial proteomics and protein-protein interaction network data. In Lecture Notes in Computer Science. Springer. 9 pp . (doi:10.1007/978-3-319-70139-4_79).

Millington, Tristan and Niranjan, Mahesan (2017) Robust portfolio risk minimization using the graphical lasso. 10 pp . (doi:10.1007/978-3-319-70096-0_88).

Marquez, Enrique, Salvador, Hare, Jonathon and Niranjan, Mahesan (2018) Deep cascade learning. IEEE Transactions on Neural Networks and Learning Systems, 29 (11), 5475-5485, [8307262]. (doi:10.1109/TNNLS.2018.2805098).

Atkins, Adam, Gerding, Enrico and Niranjan, Mahesan (2018) Financial news predicts stock market volatility better than close price. The Journal of Finance and Data Science. (doi:10.1016/j.jfds.2018.02.002).

Vidanagamachchi, Sugandima and Niranjan, Mahesan (2018) OPBI: an open pipeline for biomarker identification. In 2017 IEEE International Conference on Industrial Engineering and Engineering Management, IEEM 2017. vol. 2017-December, IEEE Computer Society. pp. 1510-1514 . (doi:10.1109/IEEM.2017.8290145).

Martinez-Nunez, Rocio, Teresa, Rupani, Hitasha, Platé, Manuela, Niranjan, Mahesan, Chambers, Rachel C., Howarth, Peter H. and Sanchez-Elsner, Tilman (2018) Genome-wide posttranscriptional dysregulation by microRNAs in human asthma as revealed by Frac-seq. The Journal of Immunology, 201 (1), 251-263. (doi:10.4049/jimmunol.1701798).

Nunes, Manuel, Gerding, Enrico, McGroarty, Frank and Niranjan, Mahesan (2018) Dataset for "A Comparison of Multitask and Single Task Learning with Artificial Neural Networks for Yield Curve Forecasting". University of Southampton doi:10.5258/SOTON/D0450 [Dataset]

Nunes, Manuel, Gerding, Enrico, McGroarty, Frank and Niranjan, Mahesan (2019) A comparison of multitask and single task learning with artificial neural networks for yield curve forecasting. Expert Systems with Applications, 119, 362-375. (doi:10.1016/j.eswa.2018.11.012).

Pirashvili, Mariam, Brodzki, Jacek, Belchi guillamon, Francisco, Niranjan, Mahesan, Frey, Jeremy G. and Steinberg, Lee (2018) Improved understanding of aqueous solubility modeling through topological data analysis. Journal of Cheminformatics, 10, [54]. (doi:10.1186/s13321-018-0308-5).

Romero Moreno, Guillermo, Niranjan, Mahesan and Prugel-Bennett, Adam (2019) Saliency map on Cnns for protein secondary structure prediction. In ICASSP 2019 - 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). IEEE. pp. 1249-1253 . (doi:10.1109/ICASSP.2019.8683603).

Du, Xin, Farrahi, Katayoun and Niranjan, Mahesan (2019) Transfer learning across human activities using a cascade neural network architecture. In ISWC '19 Proceedings of the 23rd International Symposium on Wearable Computers. ACM Press. pp. 35-44 . (doi:10.1145/3341163.3347730).

Jeyananthan, Pratheeba and Niranjan, Mahesan (2019) Classification and regression analysis of lung tumors from multi-level gene expression data. In Classification and regression analysis of lung tumors from multi-level gene expression data. IEEE. pp. 1-8 . (doi:10.1109/IJCNN.2019.8852282).

Millington, Tristan and Niranjan, Mahesan (2019) Quantifying influence in financial markets via partial correlation network inference. In 2019 11th International Symposium on Image and Signal Processing and Analysis (ISPA). IEEE.. (doi:10.1109/ISPA.2019.8868437).

Heinson, Ashley, Ewing, Robert, Holloway, John, Woelk, Christopher H. and Niranjan, Mahesan (2019) An evaluation of different classification algorithms for protein sequence-based reverse vaccinology prediction. PLoS ONE, 14 (12), [e0226256]. (doi:10.1371/journal.pone.0226256).

Brodzki, Jacek, Rahmani, Donya, Fay, Damien, Takeda, Akiko and Niranjan, Mahesan (2020) Estimation of Gaussian mixture models via tensor moments with application to online learning. Pattern Recognition Letters, 131, 285-292. (doi:10.1016/j.patrec.2020.01.001).

Millington, Tristan and Niranjan, Mahesan (2020) Partial correlation financial networks. Applied Network Science, 5 (1), 1-19, [11]. (doi:10.1007/s41109-020-0251-z).

Shetta, Omar and Niranjan, Mahesan (2020) Robust subspace methods for outlier detection in genomic data circumvents the curse of dimensionality. Royal Society Open Science, 7 (2), [190714]. (doi:10.1098/rsos.190714).

Lu, Ping, Wood, Robert, Grundy, Joanna, Harris, Nicholas, Harvey, Terry J., Niranjan, Mahesan, Powrie, Honor and Li, Linghan (2019) Monitoring the health of tribo-contacts. In ÖTG-Symposium 2019 professional conference proceeding. The Austrian Tribology Society..

Harris, Ethan William Albert, Niranjan, Mahesan and Hare, Jonathon (2019) Foveated convolutions: improving spatial transformer networks by modelling the retina. In Shared Visual Representations in Human and Machine Intelligence: 2019 NeurIPS Workshop. 8 pp .

Belchi Guillamon, Francisco, Brodzki, Jacek, Burfitt, Matthew and Niranjan, Mahesan (2020) A numerical measure of the instability of Mapper-type algorithms. Journal of Machine Learning Research, 21, 1-45, [202].

Nunes, Manuel, Gerding, Enrico, McGroarty, Frank and Niranjan, Mahesan (2020) LSTM-LagLasso for bond yield forecasting: Peeping into the long short-term memory networks' black box. Workshop on Advancing Machine Learning in Finance, Insurance and Economics, Cass Business School and University of Glasgow, London, United Kingdom. 17 Jan 2020. (doi:10.13140/RG.2.2.10212.53129).

Nunes, Manuel, Gerding, Enrico, McGroarty, Frank and Niranjan, Mahesan (2019) The memory advantage of long short-term memory networks for bond yield forecasting. International Conference on Forecasting Financial Markets, Venice, Italy, Ca' Foscari University of Venice, Venice, Italy. 19 - 21 Jun 2019. (In Press)

Stumpf, Patrick Simon, Du, Xin, Imanishi, Haruka, Kunisaki, Yuya, Semba, Yuichiro, Noble, Timothy, Smith, Rosanna, Rose-Zerilli, Matthew, West, Jonathan, Oreffo, Richard, Farrahi, Katayoun, Niranjan, Mahesan, Akashi, Koichi, Arai, Fumio and Macarthur, Benjamin (2020) Transfer learning efficiently maps bone marrow cell types from mouse to human using single-cell RNA sequencing. Communications Biology, 3 (1), [736]. (doi:10.1038/s42003-020-01463-6).

Kanza, Samantha, Bird, Colin Leonard, Niranjan, Mahesan, Mcneill, William and Frey, Jeremy G. (2021) The AI for Scientific Discovery Network+. Patterns, 2 (1), [100162]. (doi:10.1016/j.patter.2020.100162).

Heinson, Ashley, Gunawardana, Yawwani P, Moesker, Bastiaan, Denman Hume, Carmen C., Vataga, Elena, Hall, Yper, Stylianou, Elena, Mcshane, Helen, Williams, Ann, Niranjan, Mahesan and Woelk, Christopher H. (2017) Enhancing the biological relevance of machine learning classifiers for reverse vaccinology. International Journal of Molecular Sciences, 18 (2). (doi:10.3390/ijms18020312).

Millington, Tristan and Niranjan, Mahesan (2020) Construction of minimum spanning trees from financial returns using rank correlation. Physica A: Statistical Mechanics and its Applications, 566, [125605]. (doi:10.1016/j.physa.2020.125605).

Millington, Tristan and Niranjan, Mahesan (2021) Stability and similarity in financial networks—how do they change in times of turbulence? Physica A: Statistical Mechanics and its Applications, 574, [126016].

Wu, Yihong, Heng, Yuwen, Niranjan, Mahesan and Kim, Hansung (2021) Depth estimation from a single omnidirectional image using domain adaptation. 18th ACM SIGGRAPH European Conference on Visual Media Production, CVMP 2021, , Virtual, Online, United Kingdom. 06 - 07 Dec 2021. 9 pp . (doi:10.1145/3485441.3485649).

Du, Xin, Farrahi, Katayoun and Niranjan, Mahesan (2021) Information bottleneck theory based exploration of cascade learning. Entropy, 23 (10), [1360]. (doi:10.3390/e23101360).

Shetta, Omar Essam, Niranjan, Mahesan and Dasmahapatra, Srinandan (2021) Convex multi-view clustering via robust low rank approximation with application to multi-omic data. IEEE/ACM Transactions on Computational Biology and Bioinformatics. (doi:10.1109/TCBB.2021.3122961).

Lu, Shengyu, Mahmoodi, Sasan and Niranjan, Mahesan (2022) Robust 3D rotation invariant local binary pattern for volumetric texture classification. 26th International Conference on Pattern Recognition, Montreal, Quebec, Montreal, Canada. 21 - 25 Aug 2022. 7 pp .

Strauch, Yaron, Lord, Jenny, Niranjan, Mahesan and Baralle, Diana , Palazzo, Alexander F. (ed.) (2022) CI-SpliceAI—Improving machine learning predictions of disease causing splicing variants using curated alternative splice sites. PLoS ONE, 17 (6), e0269159. (doi:10.1371/journal.pone.0269159).

Porter, Gemma, Pople, Jenny, Niranjan, Mahesan, Ardern-Jones, Michael and Polak, Marta (2022) Using a rank selection genetic algorithm to optimize parameter estimation allows for prediction of cellular responses in in silico biological models. British Journal of Dermatology, 186 (6), E242-E243. (doi:10.1111/bjd.21248).

Niranjan, Mahesan (2022) AI3SD Video: Inference from Medical Images: Subspaces for Low Data Regimes. Frey, Jeremy G. and Kanza, Samantha (eds.) AI4SD Network+ Conference, Chilworth Manor , Southampton, United Kingdom. 01 - 03 Mar 2022. (doi:10.5258/SOTON/AI3SD0190).

Martin-Martinez, Francisco, Frey, Jeremy G. and Niranjan, Mahesan (2022) AI3SD video: internship talk – high-throughput generation of chemical isomers for the development of molecular models of biocrude oils. Kanza, Samantha (ed.) AI4SD Network+ Conference, Chilworth Manor , Southampton, United Kingdom. 01 - 03 Mar 2022. (doi:10.5258/SOTON/AI3SD0213).

Contact

Share this profile FacebookTwitterWeibo
Telephone:
+442380593119
Email:
js4n17@soton.ac.uk

 

Research Fellow

I am received the B.Sc. degree from the Nanjing Institute of Technology, China in 2008, and from the University of Southampton, an MSc degree in Microelectromechanical systems in 2012 and a PhD in 2017. I was appointed, in the same year, as a Research Fellow in the Department of Electronics and Computer Science (ECS). My research interest covers a board range of wearable including but not limited to sensors, actuators, memory, and energy harvesting etc. As well as those wearable devices, I am also interested in the fabrication technologies, such as 3D printing, dispenser printing, inkjet printing, micro-fabrications, which enable the conventional and novel devices to be directly fabricated on to textiles. In addition, I can skillfully design and develop circuits and programs for embedded systems.

Research

Research interests

MEMS, Sensors, Nanofabrication, E-textile, Energy Harvesting, 3D Printing

Publications

Shi, Junjie, Yong, Sheng and Beeby, Stephen (2018) An easy to assemble ferroelectret for human body energy harvesting. Smart Materials and Structures, 1-11. (doi:10.1088/1361-665X/aabdbc).

Yong, Sheng, Shi, Junjie and Beeby, Stephen (2019) Wearable textile power module based on flexible ferroelectret and supercapacitor. Energy Technology, 7 (5), [1800938]. (doi:10.1002/ente.201800938).

Shi, Junjie, Luo, Zhenhua, Zhu, Dibin and Beeby, Stephen (2019) Optimization a structure of MEMS based PDMS ferroelectret for human body energy harvesting and sensing. Smart Materials and Structures, 28 (7), 1-13, [075010]. (doi:10.1088/1361-665X/ab1ce2).

Shi, Junjie and Beeby, Steve (2019) Textile based ferroelectret for foot pressure sensor. In FLEPS 2019 - IEEE International Conference on Flexible and Printable Sensors and Systems, Proceedings. Institute of Electrical and Electronics Engineers Inc. pp. 1-3 . (doi:10.1109/FLEPS.2019.8792228).

Beeby, Stephen, Torah, Russel, Tudor, John, Grabham, Neil, Yong, Sheng, Arumugam, Sasikumar, Li, Yi and Shi, Junjie (2019) Energy harvesting power supplies for electronic textiles. In FLEPS 2019 - IEEE International Conference on Flexible and Printable Sensors and Systems, Proceedings. Institute of Electrical and Electronics Engineers Inc.. (doi:10.1109/FLEPS.2019.8792260).

Wagih, Mahmoud and Shi, Junjie (2021) Direct-written printed dual-polarized meshed antenna for chipless RFID sensing. In General Assembly of the International Radio Science Union (URSI). IEEE. 4 pp . (In Press)

Wagih, Mahmoud and Shi, Junjie (2021) Wireless ice detection and monitoring using flexible UHF RFID tags. IEEE Sensors Journal. (doi:10.36227/techrxiv.14252657.v2). (In Press)

Komolafe, Abiodun, Zaghari, Bahareh, Torah, Russel, Weddell, Alexander, Khanbareh, Hamideh, Michail Tsikriteas, Zois, Vousden, Mark, Wagih, Mahmoud, Tronco Jurado, Ulises, Shi, Junjie, Li, Yi, Yang, Kai, Savelli, Guillaume, White, Neil and Beeby, Stephen (2021) E-textile technology review: from materials to applications. IEEE Access, 9, 97152-97179, [9471836]. (doi:10.1109/ACCESS.2021.3094303).

Shi, Junjie and Wagih, Mahmoud (2021) Flexible Direct-Write Printed RF Sensor for RF Ice Sensing. In 2021 IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS). IEEE.. (doi:10.1109/FLEPS51544.2021.9469841).

Wagih, Mahmoud and Shi, Junjie (2021) Complex-impedance dipole antennas as RFID-enabled ice monitors. In IEEE Antennas and Propagation Symposium. IEEE.. (In Press)

Mohamed, Mahmoud and Shi, Junjie (2021) UHF RFID Ice Detection and Monitoring Data. University of Southampton doi:10.5258/SOTON/D1849 [Dataset]

Wagih, Mahmoud, Shi, Junjie and Li, Menglong (2022) Radio frequency-enabled “green” Large Area Electronics: from robust sensors to biodegradable antennas. Innovations in Large Area Electronics (InnoLAE) 2022, , Cambridge, United Kingdom. 22 - 24 Feb 2022. (In Press)

Shi, Junjie and Beeby, Stephen (2022) The effect of fabric properties on the performance of a textile based ferroelectret generator toward human body energy harvesting. Smart Materials and Structures, 31 (4), [045015]. (doi:10.1088/1361-665X/ac56b8).

Zhang, Mingming, Shi, Junjie and Beeby, Stephen (2022) Improved charge density and stability in PDMS ferroelectrets using PTFE/PDMS composite materials. (Submitted)

Contact

Share this profile FacebookTwitterWeibo

Pages