The University of Southampton

Publications

Bragg, Graeme, Martinez, K., Basford, P. and Hart, J. (2016) 868MHz 6LoWPAN with ContikiMAC for an internet of things environmental sensor network. SAI Computing Conference 2016, London, United Kingdom. 12 - 14 Jul 2016. 6 pp . (doi:10.1109/SAI.2016.7556143).

Bragg, Olivia M., Basford, Philip, Black, Andrew R., Bragg, Graeme, Hart, Jane and Martinez, Kirk (2016) Britain’s highest bog: can we unlock its secrets? 15th International Peat Congress 2016, Kuching, Malaysia. 14 - 18 Aug 2016. 5 pp .

Basford, Philip, Bragg, Graeme, Hare, Jonathon, Jewell, Mike, Martinez, Kirk, Newman, David, Pau, Reena, Smith, Ash and Ward, Tyler (2016) Erica the Rhino: a case study in using Raspberry Pi Single Board Computers for interactive art. [in special issue: Raspberry Pi Technology] ELECTRONICS,, 5 (3), 1-18. (doi:10.3390/electronics5030035).

Fabre, Arthur, Martinez, Kirk, Bragg, Graeme, Basford, Philip, Hart, Jane, Bader, Sebastian and Bragg, Olivia (2016) Deploying a 6LoWPAN, CoAP, low power, wireless sensor network. ACM Conference on Embedded Networked Sensor Systems, Stanford, United States. 14 - 16 Nov 2016. 2 pp . (doi:10.1145/2994551.2996707).

Martinez, Kirk, Hart, Jane K., Basford, Philip J., Bragg, Graeme, Ward, Tyler and Young, David S. (2017) A geophone wireless sensor network for investigating glacier stick-slip motion. Computers & Geosciences, 105, 103-112. (doi:10.1016/j.cageo.2017.05.005).

Tenentes, Vasileios, Leech, Charles, Bragg, Graeme, Merrett, Geoffrey, Al-Hashimi, Bashir, Amrouch, Hussam, Henkel, Jörg and Das, Shidhartha (2017) Hardware and software innovations in energy-efficient system-reliability monitoring. In IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems. IEEE. 5 pp . (In Press) (doi:10.1109/DFT.2017.8244435).

Bragg, Graeme McLachlan (2017) Standards-based Internet of Things sub-GHz environmental sensor networks. University of Southampton, Doctoral Thesis, 194pp.

Bragg, Graeme (2018) Dataset for An Application- and Platform-agnostic Control and Monitoring Framework for Multicore Systems. University of Southampton doi:10.5258/SOTON/D0565 [Dataset]

Bragg, Graeme (2018) Dataset for Application Control and Monitoring in Heterogeneous Multiprocessor Systems. University of Southampton doi:10.5258/SOTON/D0564 [Dataset]

Bragg, Graeme McLachlan, Leech, Charles R., Balsamo, Domenico, Davis, James J., Weber Wachter, Eduardo, Merrett, Geoff, Constantinides, George A. and Al-Hashimi, Bashir (2018) An application- and platform-agnostic control and monitoring framework for multicore systems. 3rd International Conference on Pervasive and Embedded Computing, , Porto, Portugal. 29 - 30 Jul 2018.

Bragg, Graeme McLachlan, Balsamo, Domenico, Leech, Charles R and Merrett, Geoff (2018) The PRiME Framework: Application- & platform-agnostic system management. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018.

Leech, Charles R., Bragg, Graeme McLachlan, Balsamo, Domenico, Weber Wachter, Eduardo, Merrett, Geoff and Al-Hashimi, Bashir (2018) Application control and monitoring in heterogeneous multiprocessor systems. 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, , Lille, France. 09 - 11 Jul 2018. 8 pp .

Balsamo, Domenico, Bragg, Graeme McLachlan, Leech, Charles and Merrett, Geoff (2018) Application- and platform-agnostic runtime power management of heterogeneous embedded systems. 2018 Design, Automation and Test in Europe Conference and Exhibition, , Dresden, Germany. 19 - 23 Mar 2018.

Hart, Jane K., Martinez, Kirk, Basford, Philip J., Clayton, Alexander, Bragg, Graeme McLachlan, Ward, Tyler and Young, David (2019) Surface melt-driven seasonal behaviour (englacial and subglacial) from a soft-bedded temperate glacier recorded by in situ wireless probes. Earth Surface Processes and Landforms, 44 (9), 1769-1782. (doi:10.1002/esp.4611).

Brown, Andrew, Vousden, Mark, Rast, Alexander, Bragg, Graeme McLachlan, Thomas, David, Beaumont, Jonny, Naylor, Matthew and Mokhov, Andrey (2019) POETS: Distributed event-based computing - scaling behaviour. The International Conference on Parallel Computing, Charles University, Prague, Czech Republic. 10 - 13 Sep 2019. (In Press)

Vousden, Mark, Bragg, Graeme McLachlan, Rast, Alexander D, Beaumont, Jonny, Naylor, Matthew, Thomas, David, Mokhov, andrey and Brown, Andrew (2019) Partially-ordered event triggered systems, and the challenges of event-based computing. Rethinking Computing Architectures for Big Data and AI, The MAC Metropolitan Arts Centre, Belfast, United Kingdom. 18 pp .

Brown, Andrew, Vousden, Mark, Bragg, Graeme McLachlan, Shillcock, Julian, Beaumont, Jonathan and Thomas, David Barrie (2021) Coupling bulk phase separation of disordered proteins to membrane domain formation in molecular simulations on a bespoke compute fabric. Membranes, 12 (1). (doi:10.3390/membranes12010017).

Rafiev, Ashur, Morris, Jordan, Xia, Fei, Yakovlev, Alex, Naylor, Matthew, Moore, Simon, Thomas, David, Bragg, Graeme, Vousden, Mark and Brown, Andrew (2022) Practical distributed implementation of very large scale petri net simulations. Koutny, Maciej, Kordon, Fabrice and Moldt, Daniel (eds.) In Transactions on Petri Nets and Other Models of Concurrency XVI. vol. 13220 LNCS, Springer Science and Business Media Deutschland GmbH. pp. 112-139 . (doi:10.1007/978-3-662-65303-6_6).

Rafiev, Ashur, Yakovlev, Alex, Tarawneh, Ghaith, Naylor, Matthew F., Moore, Simon W., Thomas, David B., Bragg, Graeme M., Vousden, Mark L. and Brown, Andrew D. (2022) Synchronization in graph analysis algorithms on the Partially Ordered Event-Triggered Systems many-core architecture. IET Computers and Digital Techniques, 16 (2-3), 71-88. (doi:10.1049/cdt2.12041).

Brown, Andrew, Todman, Tim, Luk, Wayne, Thomas, David, Vousden, Mark, Bragg, Graeme, Beaumont, Jonny, Moore, Simon, Yakovlev, Alex and Rafiev, Ashur (2022) Non-deterministic event brokered computing. In Proceedings of the 12th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, HEART 2022. The Association for Computing Machinery. pp. 84-86 . (doi:10.1145/3535044.3535055).

Vousden, Mark, Bragg, Graeme M. and Brown, Andrew D. (2022) Asynchronous simulated annealing on the placement problem: A beneficial race condition. Journal of Parallel and Distributed Computing, 169, 242-251. (doi:10.1016/j.jpdc.2022.07.001).

Contact

Share this profile FacebookTwitterWeibo
Telephone:
+442380592775
Email:
gvm@ecs.soton.ac.uk

 PhD, BEng, PGCert, FHEA, SMIEEE, MIET

Personal homepage
https://www.linkedin.com/in/geoffmerrett/
https://twitter.com/g_merrett

Geoff Merrett is Professor of Electronic and Software Systems at the University of Southampton, Head of the Centre for Internet of Things (IoT) and Pervasive Systems, and Co-Director of the Arm-ECS Research Centre. He received the BEng and PhD degrees from Southampton in 2004 and 2009 respectively. He was appointed as a Lecturer shortly after, promoted to Associate Professor in 2014, and Professor in 2019. His research interests are in energy management of mobile/embedded systems and self-powered devices, and he has published over 200 papers in these areas. He has received a number of Best Paper awards and nominations, and he has given invited talks at leading workshops, conferences and research groups. He is currently Southampton PI on the £1.2M EPSRC International Centre for Spatial Computational Learning, and Deputy Director of the £1.4M EPSRC Platform Grant on Smart Cities, having previously been an investigator on PRiMEGraceful, and Holistic Energy Harvesting.

He has served on the EPSRC Strategic Advisory Team (SAT) for the ICT theme since 2019, the eFutures Steering Group, and co-manages the UK’s Energy Harvesting Network. He is a member of EPSRC College and the UKRI FLF Panel College, and also reviews proposals for national funders in Italy and the Netherlands. He is an active reviewer for a number of prestigious international journals and conferences, is Associate Editor for IET CDTMDPI Sensors, and a guest editor for numerous special issues. He co-edited the IET book on Many Core Computing: Hardware and Software, was General Chair of EWME 2016, and was a founder of the ENSsys workshop (co-located with ACM SenSys since 2013), serving as General Chair from 2013-15, and on its steering/organisation committees since 2016.

Professor Merrett currently leads a team of 1 research staff and 11 PhD students, and has successfully graduated 20 PhD students. He is Director of Outreach and Recruitment in ECS, and has led on a number of significant outreach projects including the ECS Summer Taster Courses and A-Level Electronic Engineering Teaching Kits, both of which have been nominated for VC Teaching Awards. He is an MSc External Examiner at the University of York, and serves on the UK Electronics Skills Foundation's Strategic Advisory Group. He is a Senior Member of the IEEE, a Member of IET, and a Fellow of the HEA.

*information correct as of August 2021

Research

Research interests

His research interests are in energy management of mobile/embedded systems and self-powered devices. He has published over 200 papers in these areas, with 3500 citations, an h-index of 30 and a g-index of 52*1. His papers have received Best Paper awards (IJCAI 2013ICCES 2017PECCS 2018) and were Best Paper finalists (DATE 2011/15/16CODES-ISSS 2017IEEE TCAD). He has given invited talks at leading workshops, conferences and research groups (e.g. DACDATEArm Research Summit, ETH Zurich). He is currently Deputy Director of a £1.4M EPSRC Platform Grant on Smart Cities (EP/P010164/1), and Southampton PI on the £1.2M EPSRC International Centre for Spatial Computational Learning (EP/S030069/1). He was previously a Theme Leader on the £5.6M EPSRC-funded PRiME Programme Grant (EP/K034448/1), Southampton PI on the £1.3M EPSRC ‘Graceful’ many-core computing project (EP/L000563/1), and a Co-I on both the EPSRC Holistic Energy Harvesting project (EP/G067740/1) and the EPSRC Energy Harvesting Network (EP/H013458/1).

*information correct as of August 2021

Teaching

At Southampton, he leads and teaches on a number of well-received lecture, lab, and project based modules. In 2021, he was shortlisted for a Southampton University Student's Union award for Most Engaging Lecturer. He has a Postgraduate Certificate in Academic Practice, has supervised 125 UG/PGT project students, and continually seeks to improve his teaching. He led on the creation of a new MSc Internet of Things (IoT) degree programme at Southampton, uniquely designed to recruit students from both EEE and computing backgrounds. He has designed substantial educational material and delivered it to UG/PGT students, college teachers and students, and academic/industrial researchers. Internationally, he played a key role in the launch of the EEE degree at the University’s Malaysia campus, teaching there for two weeks every year from 2013-17. Having previously served as Senior Admissions Tutor for ECS' EEE degree programmes, Professor Merrett is currently Director of Outreach and Recruitment in the Department. In this role, he has overseen major projects for ECS’ public website, created ECS’ first outreach strategy, and led on a number of significant outreach projects (e.g. the ECS Summer Taster Courses, which were nominated for a VC Teaching Award in 2017, and the A-Level Electronic Engineering Teaching Kits, which received a VC Teaching Award in 2019).

Professor Merrett is External Examiner at the University of York for their MSc Embedded Wireless Systems and MSc Digital Systems Engineering degree programmes. He was General Chair of the 11th European Workshop on Microelectronics Education (EWME) in 2016, where he also published a paper on the use of innovative online lectures (for which he received funding from the National HESTEM Programme, and a VC Teaching Award in 2011). He now serves on the Workshop’s Steering Committee. He has been Branch Counsellor of the University’s IEEE Student Branch since 2010, and received the IEEE R8 ‘Outstanding Counsellor’ award in 2013. He has been Southampton’s academic representative for the UK Electronics Skills Foundation (UKESF) since 2011, a collaboration between industry and universities which, alongside promoting a career in electronics, provides scholarships, summer employment and industrial mentoring to undergraduates. In 2016 he was invited to join the UKESF’s Strategic Advisory Group, and helped to guide activities, took part in a roundtable discussion with government ministers on the engineering skills gap, and presented at their 5-year celebration event and the TechWorks Industry Summit 2018.

*information correct as of August 2021

Publications

Merrett, Geoff V. (2008) Energy- and information-managed wireless sensor networks: modelling and simulation. University of Southampton, School of Electronics and Computer Science, Doctoral Thesis, 208pp.

Merrett, Geoff and Al-Hashimi, Bashir M. (2004) Leakage Power Analysis and Comparison of Deep Submicron Logic Gates. IEEE 14th International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS 2004), Santorini, Greece. pp. 198-207 .

Merrett, Geoff, Al-Hashimi, Bashir M., White, Neil M. and Harris, Nick R. (2005) Information Managed Wireless Sensor Networks with Energy Aware Nodes. 2005 NSTI Nanotechnology Conference and Trade Show (NanoTech 2005), Anaheim, California. 07 - 11 May 2005. pp. 367-370 .

Merrett, Geoff V., Al-Hashimi, Bashir M., White, Neil M. and Harris, Nick R. (2005) Resource Aware Sensor Nodes in Wireless Sensor Networks. Sensors & their Applications XIII, Chatham Maritime, Kent. 05 - 07 Sep 2005. pp. 137-142 .

Merrett, G. V., Harris, N. R., Al-Hashimi, B. M. and White, N. M. (2006) Rule Managed Reporting in Energy Controlled Wireless Sensor Networks. Eurosensors XX, Gothenburg, Sweden. 16 - 19 Sep 2006. pp. 402-403 .

Merrett, Geoff V., Weddell, Alex S., Harris, Nick R., White, Neil M. and Al-Hashimi, Bashir M. (2006) The Unified Framework for Sensor Networks: A Systems Approach University of Southampton

Merrett, Geoff V., Harris, Nick R., Al-Hashimi, Bashir M. and White, Neil M. (2006) Energy Controlled Reporting for Industrial Monitoring Wireless Sensor Networks. IEEE Sensors 2006, Daegu, Korea. 21 - 24 Oct 2006. pp. 892-895 .

Merrett, Geoff V., Harris, Nick R., Al-Hashimi, Bashir M. and White, Neil M. (2008) Energy managed reporting for wireless sensor networks. Sensors and Actuators A: Physical, 142 (1), 379-389. (doi:10.1016/j.sna.2007.04.040).

Weddell, Alexander S., Merrett, Geoff V., Harris, Nick R. and Al-Hashimi, Bashir M. (2008) Energy Harvesting and Management for Wireless Autonomous Sensors. Measurement + Control, 41 (4), 104-108.

Maunder, R. G., Weddell, A. S., Merrett, G. V., Al-Hashimi, B. M. and Hanzo, L. (2008) Iterative Decoding for Redistributing Energy Consumption in Wireless Sensor Networks. International Conference on Computer Communications and Networks, St. Thomas, U.S. Virgin Islands. 03 - 07 Aug 2008.

Merrett, Geoff V., Weddell, Alexander S., Lewis, Adam P., Harris, Nick R., Al-Hashimi, Bashir M. and White, Neil M. (2008) An Empirical Energy Model for Supercapacitor Powered Wireless Sensor Nodes. 17th International IEEE Conference on Computer Communications and Networks, St Thomas, Virgin Islands (), United States. 02 - 06 Aug 2008.

Merrett, Geoff V, Weddell, Alex S., Harris, Nick R, Al-Hashimi, Bashir M and White, Neil M (2008) A Structured Hardware/Software Architecture for Embedded Sensor Nodes. 17th International Conference on Computer Communications and Networks, St Thomas, Virgin Islands (), United States. 02 - 06 Aug 2008.

Merrett, Geoff V., Weddell, Alex S., Berti, Luca, Harris, Nick R., White, Neil M. and Al-Hashimi, Bashir M. (2008) A Wireless Sensor Network for Cleanroom Monitoring. Eurosensors 2008, Dresden, Germany. 06 - 10 Sep 2008. pp. 1553-1556 .

Mathioudakis, Ioannis, White, Neil M., Harris, Nick R. and Merrett, Geoff V. (2008) Wireless Sensor Networks:A case study for Energy Efficient Environmental Monitoring. Eurosensors 2008, Dresden, Germany. 06 - 10 Sep 2008.

Weddell, Alex S., Merrett, Geoff V., Harris, Nick R. and White, Neil M. (2009) Energy Devices for Sensor Networks: Properties for Simulation and Deployment. Wireless Communications, Vehicular Technology, Information Theory and Aerospace & Electronic Systems Technology (Wireless VITAE); Special Session: Energy-Harvesting Wireless Sensor Networks, Aalborg, Denmark. 16 - 19 May 2009. pp. 26-30 . (In Press)

Merrett, Geoff, White, Neil, Harris, Nick and Al-Hashimi, Bashir (2009) Energy-Aware Simulation for Wireless Sensor Networks. Sixth Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks (SECON 2009), Rome, Italy. 21 - 25 Jun 2009.

Weddell, A. S., Teacy, W. T. L., Grabham, N. J., Merrett, G. V., Harris, N. R., Rogers, A., White, N. M. and Jennings, N. R. (2009) Plug-and-Play Power Resources and Agent-Based Coordination for Energy-Aware Wireless Sensor Nodes. WiSIG Wireless Sensing Showcase 2009, National Physical Laboratory, Teddington, United Kingdom.

Merrett, Geoff, Weddell, Alex and Harris, Nick (2010) Wireless Devices and Sensor Networks. In, Beeby, Steve and White, Neil (eds.) Energy Harvesting for Autonomous Systems. Artech House, pp. 7-44.

Merrett, Geoff V, Peters, Christian, Hallett, Georgina and White, Neil M (2009) An Instrumented Crutch for Monitoring Patients' Weight Distribution during Orthopaedic Rehabilitation. Eurosensors XXIII, Lausanne, Switzerland. 05 - 08 Sep 2009.

Merrett, Geoff V., Ettabib, Mohamed A., Peters, Christian, Hallett, Georgina and White, Neil M. (2010) Augmenting forearm crutches with wireless sensors for lower limb rehabilitation. Measurement Science and Technology, 21 (12), 1-10. (doi:10.1088/0957-0233/21/12/124008).

Wang, Leran, Kazmierski, Tom, Al-Hashimi, Bashir, Weddell, Alex, Merrett, Geoff and Ayala Garcia, Ivo (2011) Accelerated simulation of tunable vibration energy harvesting systems using a linearised state-space technique. Design, Test and Automation in Europe (DATE 2011), Grenoble, France. 14 - 18 Mar 2011.

Merrett, Geoff V, Metcalf, Cheryl D, Zheng, Deyi, Cunningham, Sarah, Barrow, Stuart and Demain, Sara H (2011) Design and Qualitative Evaluation of Tactile Devices for Stroke Rehabilitation. IET Assisted Living 2011, London, United Kingdom.

Weddell, Alex, Merrett, Geoff and Al-Hashimi, Bashir (2011) Ultra low-power photovoltaic MPPT technique for indoor and outdoor wireless sensor nodes. Design, Automation and Test in Europe (DATE), Grenoble, France. 14 - 18 Mar 2011. 4 pp .

Merrett, Geoff V. (2011) Wireless Sensor Networks for Process Monitoring: The Rise of Remote Control (Editorial). Energy and Environmental Management, 2011 (Summer), 43-43.

De Jager, Dirk, Wood, Alex L., Merrett, Geoff V., Al-Hashimi, Bashir M., O'Hara, Kieron, Shadbolt, Nigel R. and Hall, Wendy (2011) A low-power, distributed, pervasive healthcare system for supporting memory. 1st ACM MobiHoc Workshop on Pervasive Wireless Healthcare (MobileHealth 2011), Paris, France.

Xun, Li, Shiqi, Tang, Merrett, Geoff and White, Neil (2011) Energy-Efficient Data Acquisition in Wireless Sensor Networks through Spatial Correlation. IEEE Int'l Conf. Mechatronics and Automation (ICMA 2011), Beijing, China. 06 - 09 Aug 2011. pp. 1068-1073 .

Huang, Hui, Merrett, Geoff and White, Neil (2011) Human-powered inertial energy harvesters: the effect of orientation, location and activity on obtainable power. Eurosensors XXV, Athens, Greece. 03 - 06 Sep 2011.

Weddell, Alex, Merrett, Geoff V., Kazmierski, Tom and Al-Hashimi, Bashir (2011) Accurate supercapacitor modeling for energy-harvesting wireless sensor nodes. IEEE Transactions on Circuits and Systems II: Express Briefs, 58 (12), 911-915. (doi:10.1109/TCSII.2011.2172712).

Weddell, Alex S., Merrett, Geoff V. and Al-Hashimi, Bashir M. (2012) Photovoltaic sample-and-hold circuit enabling MPPT indoors for low-power systems. IEEE Transactions on Circuits and Systems I: Regular Papers, 59 (6), 1196-1204. (doi:10.1109/TCSI.2011.2173393).

Kazmierski, Tom, Wang, Leran, Al-Hashimi, Bashir and Merrett, Geoff V. (2012) An explicit linearized state-space technique for accelerated simulation of electromagnetic vibration energy harvesters. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31 (4), 522-531. (doi:10.1109/TCAD.2011.2176124).

Huang, Hui, Merrett, Geoff, Metcalf, Cheryl and White, Neil (2011) A Feasibility Study on Body-Worn Inertial Energy Harvesting during Walking and Running. Energy Harvesting 2011, IET London, Savoy Place, London, United Kingdom. (In Press)

Poppleton, Michael R. and Merrett, Geoff V. (2012) Towards a Principled and Evolvable Approach to Software Development for Future Wireless Sensor Networks. Third International Workshop on Software Engineering for Sensor Network Applications, Zurich, Switzerland. 2 pp .

Wood, Alex L., Merrett, Geoff V., de Jager, Dirk, Al-Hashimi, Bashir M., O'Hara, Kieron, Shadbolt, Nigel R. and Hall, Wendy (2012) DejaView: Help with memory, when you need it. SenseCam 2012: Third Annual Symposium, Oxford, United Kingdom. 03 - 04 Apr 2012. 20 pp .

Kazmierski, Tom, Merrett, Geoff V., Wang, Leran, Al-Hashimi, Bashir, Weddell, Alex and Ayala Garcia, Ivo (2012) Modeling of Wireless Sensor Nodes Powered by Tunable Energy Harvesters: HDL-Based Approach. IEEE Sensors Journal, 12 (8), 2680-2689. (doi:10.1109/JSEN.2012.2196037).

Huang, Hui, Merrett, Geoff V. and White, Neil M. (2012) Design of a linearized magnetic spring for body-worn inertial energy harvesters. International Workshop on Algorithms and Concepts for Networked Sensing Systems Powered by Energy Harvesters (EnHaNSS'12), Antwerp, Belgium. 10 - 13 Jun 2012. 4 pp .

Merrett, Geoff V. and Weddell, Alex S. (2012) Supercapacitor leakage in energy-harvesting sensor nodes: fact or fiction? International Workshop Algorithms and Concepts for Networked Sensing Systems Powered by Energy Harvesters 2012 (EnHaNSS'12), Antwerp, Belgium. 10 Jun 2012. 5 pp .

Weddell, Alex S., Merrett, Geoff V., Barrow, Stuart and Al-Hashimi, Bashir M. (2012) Vibration-powered sensing system for engine condition monitoring. IET Wireless Sensor Systems 2012, London, United Kingdom. 18 - 19 Jun 2012. 5 pp .

Wood, Alex L., Merrett, Geoff V., Gunn, Steve R., Al-Hashimi, Bashir M., Shadbolt, Nigel R and Hall, Wendy (2012) Adaptive sampling in context-aware systems: a machine learning approach. IET Wireless Sensor Systems 2012, London, United Kingdom. 18 - 19 Jun 2012. 5 pp .

Zilli, D. and Merrett, G.V. (2012) Design and comparative analysis of single-path and epidemic approaches to information and energy management in wireless sensor networks. IET Wireless Sensor Systems 2012, London, United Kingdom. 17 - 18 Jun 2012. 5 pp .

Demain, Sara H., Cunningham, Sarah, Metcalf, Cheryl, Zheng, Deyi and Merrett, Geoff V. (2012) A narrative review on haptic devices: relating the physiology and psychophysical properties of the hand to devices for rehabilitation in central nervous system disorders. Disability and Rehabilitation: Assistive Technology.

Weddell, Alex S., Zhu, Dibin, Merrett, Geoff V., Beeby, S.P. and Al-Hashimi, B.M. (2012) A practical self-powered sensor system with a tunable vibration energy harvester. PowerMEMS 2012, Atlanta, United States. 02 - 05 Dec 2012. 4 pp .

Mistry, Jatin, Myers, James, Al-Hashimi, Bashir, Flynn, David, Biggs, John and Merrett, Geoff V. (2013) Active mode subclock power gating. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1-11. (doi:10.1109/TVLSI.2013.2280886).

Yang, Sheng, Khursheed, Saqib, Al-Hashimi, Bashir M., Flynn, David and Merrett, Geoff V. (2013) Improved state integrity of flip-flops for voltage scaled retention under PVT variation. IEEE Transactions on Circuits and Systems I: Regular Papers, 60 (11), 1-9. (doi:10.1109/TCSI.2013.2252640).

Weddell, Alexander S., Magno, Michele, Merrett, Geoff V., Brunelli, Davide, Al-Hashimi, Bashir and Benini, Luca (2013) A Survey of Multi-Source Energy Harvesting Systems. Design, Automation and Test in Europe (DATE), Grenoble, France. 18 - 22 Mar 2013. 4 pp . (doi:10.7873/DATE.2013.190).

Zia, Huma, Harris, Nick and Merrett, Geoff V. (2013) Collaborative catchment-scale water quality management using integrated wireless sensor networks. European Geosciences Union General Assembly 2013, Austria, Republic of, Austria. 07 - 12 Apr 2013.

Intana, Adisak, Poppleton, Michael R. and Merrett, Geoff V. (2013) Adding value to WSN simulation through formal modelling and analysis. Fourth International Workshop on Software Engineering for Sensor Network Applications (SESENA), 2013, San Francisco, United States. 17 - 25 May 2013.

Lau, Sei Ping, Merrett, Geoff V. and White, Neil M. (2013) Energy-efficient street lighting through embedded adaptive intelligence. Symposium on Intelligent Transportation Systems (ICALT-ITS’2013), Sousse, Tunisia. 29 - 31 May 2013. (doi:10.1109/ICAdLT.2013.6568434).

Wang, Ning, Merrett, Geoff V., Maunder, Robert G. and Rogers, Alex (2013) Energy and Accuracy Trade-Offs in Accelerometry-Based Activity Recognition. 2013 22nd International Conference on Computer Communications and Networks (ICCCN), Nassau, Bahamas. 30 Jul - 02 Aug 2013. pp. 1-6 . (doi:10.1109/ICCCN.2013.6614133).

Jiang, Teng, Merrett, Geoff V. and Harris, N.R. (2013) Opportunistic direct interconnection between co-located wireless sensor networks. Sixth International Workshop on Sensor Networks, Nassau, Bahamas. 29 Jul - 01 Aug 2013. 5 pp .

Zilli, Davide, Parson, Oliver, Merrett, Geoff and Rogers, Alex (2013) A hidden Markov model-based acoustic cicada detector for crowdsourced smartphone biodiversity monitoring. 23rd International Joint Conference on Artificial Intelligence (IJCAI 2013), , Beijing, China. 03 - 09 Aug 2013. pp. 2945-2951 .

Zia, Huma, Harris, Nick, Merrett, Geoff V., Rivers, Mark and Coles, Neil (2013) The impact of agricultural activities on water quality: a case for collaborative catchment-scale management using integrated wireless sensor networks. Computers and Electronics in Agriculture, (96), 126-138. (doi:10.1016/j.compag.2013.05.001).

Kazmierski, Tom, Wang, Leran, Merrett, Geoff V., Al-Hashimi, Bashir and Aloufi, Mansour (2013) Fast design space exploration of vibration-based energy harvesting wireless sensors. IEEE Sensors Journal, 13 (11), 4393-4401. (doi:10.1109/JSEN.2013.2263792).

Intana, Adisak, Poppleton, Michael R. and Merrett, Geoff V. (2013) Proof-based formal methods for WSN development with Simulation Approach. 2013 Rodin Workshop, Turku, Finland. 09 - 10 Jun 2013.

Kazmierski, Tom J., Wang, Leran, Al-Hashimi, Bashir and Merrett, Geoff V. (2013) DoE-based performance optimization of energy management in sensor nodes powered by tunable energy-harvesters. Design, Automation and Test in Europe (DATE 13), Grenoble, France. 18 - 22 Mar 2013. p. 484 . (doi:10.7873/DATE.2013.110).

Beeby, S.P., Wang, Leran, Zhu, Dibin, Weddell, Alex, Merrett, Geoff V., Stark, Bernard, Szarka, Gyorgy and Al-Hashimi, Bashir M. (2013) A comparison of power output from linear and non-linear kinetic energy harvesters using real vibration data. Smart Materials and Structures, 22 (7), 75022. (doi:10.1088/0964-1726/22/7/075022).

Li, Xun, Merrett, Geoff V. and White, Neil M. (2013) Energy-efficient data acquisition for accurate signal estimation in wireless sensor networks. EURASIP Journal on Wireless Communications and Networking, 2013 (230), 1-15. (doi:10.1186/1687-1499-2013-230).

Jiang, Teng, Merrett, Geoff V. and Harris, N.R. (2013) Opportunistic energy trading between co-located energy-harvesting wireless sensor networks. 1st International Workshop on Energy Neutral Sensing Systems, Rome, Italy. 11 - 14 Nov 2013. (doi:10.1145/2534208.2534212).

Weddell, Alex S., Zhu, Dibin, Merrett, Geoff V., Beeby, Stephen P. and Al-Hashimi, Bashir M. (2013) Tunable vibration energy harvester. 1st International Workshop on Energy Neutral Sensing Systems (ENSsys 2013), Rome, Italy. 14 Nov 2013. (doi:10.1145/2534208.2534226).

Das, Anup K., Shafik, Rishad Ahmed, Merrett, Geoff V., Al-Hashimi, Bashir M., Kumar, Akash and Veeravalli, Bharadwaj (2014) Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems. DAC2014: Design Automation Conference, San Francisco, United States. 31 May - 04 Jun 2014.

Pantidi, Nadia, Moran, Stuart, Bachour, Khaled, Rodden, Tom, Zilli, Davide, Merrett, Geoff V. and Rogers, Alex (2014) Field testing a rare species bioacoustic smartphone application: challenges and future considerations. 3rd IEEE International Workshop on the Social Implication of Pervasive Computing for Sustainable Living (SIPC '14), Budapest, Hungary. 24 - 28 Mar 2014. 6 pp .

Moran, Stuart, Pantidi, Nadia, Rodden, Tom, Chamberlain, Alan, Griffiths, Chloe, Zilli, Davide, Merrett, Geoff V. and Rogers, Alex (2014) Listening to the forest and its curators: lessons learnt from a bioacoustic smartphone application deployment. ACM CHI Conference on Human Factors in Computing Systems, Toronto, Canada. 25 - 30 Apr 2014. (doi:10.1145/2556288.2557022).

Shafik, Rishad Ahmed, Das, Anup K., Yang, Sheng, Merrett, Geoff V. and Al-Hashimi, Bashir (2014) Design considerations for reliable embedded systems. In, Swingler, Jonathan (ed.) Reliability Characterisation of Electrical and Electronic Systems. (Woodhead Publishing Series in Electronic and Optical Materials, 74) London, GB. Woodhead Publishing.

Lau, Sei Ping, Merrett, Geoff V., Weddell, Alex S. and White, Neil M. (2014) StreetlightSim: a simulation environment to evaluate networked and adaptive street lighting. IEEE Asia Pacific Conference on Wireless and Mobile Technologies 2014, Bali, Indonesia. 28 - 30 Aug 2014. 6 pp . (Submitted)

Zia, Huma, Harris, N.R. and Merrett, Geoff V. (2014) Water quality monitoring, control and management (WQMCM) framework using collaborative wireless sensor networks. 11th International Conference on Hydroinformatics (HIC) 2014, New York City, United States. 17 - 21 Aug 2014. (In Press)

Zia, Huma, Harris, Nick and Merrett, Geoff V. (2014) Empirical modeling and simulation for discharge dynamics enabling catchment-scale water quality management. The 26th European Modeling & Simulation Symposium. 09 - 11 Sep 2014. (Submitted)

Merrett, Geoff V., Huang, Hui and White, Neil M. (2015) Modeling the effect of orientation on human-powered inertial energy harvesters. IEEE Sensors Journal, 15 (1), 434-441. (doi:10.1109/JSEN.2014.2346019).

Zia, Huma, Harris, Nick and Merrett, Geoff V. (2014) A low complexity data driven model of environmental discharge dynamics for sensor network applications. Eurosensors XXVIII, Brescia, Italy. 06 - 09 Sep 2014.

Intana, Adisak, Poppleton, Michael R. and Merrett, Geoff V. (2014) A formal co-simulation approach for wireless sensor network development. Electronic Communications of the EASST, 70, 1-15.

Jiang, Teng, Merrett, Geoff V. and Harris, N.R. (2014) Enabling opportunistic energy trading between overlapping energy harvesting wireless sensor networks. 2nd International Workshop on Energy Neutral Sensing Systems (ENSsys 2014), Memphis, United States. 06 Nov 2014. pp. 25-30 . (doi:10.1145/2675683.2675688).

Lau, Sei Ping, Weddell, Alex S., Merrett, Geoff V. and White, NM (2014) Energy-neutral solar-powered street lighting with predictive and adaptive behaviour. 2nd International Workshop on Energy Neutral Sensing Systems (ENSsys 2014), Memphis, United States. 06 Nov 2014. pp. 13-18 . (doi:10.1145/2675683.2675690).

Das, Anup K., Shafik, Rishad Ahmed, Merrett, Geoff V., Hashimi, B.M., Kumar, Akash and Veeravalli, Bharadwaj (2015) Workload uncertainty characterization and adaptive frequency scaling for energy minimization of embedded systems. Conference on Design, Automation & Test in Europe, Grenoble, France. 09 - 13 Mar 2015. 6 pp .

Balsamo, Domenico, Weddell, Alex, Merrett, Geoff V., Al-Hashimi, Bashir M., Brunelli, Davide and Benini, Luca (2015) Hibernus: sustaining computation during intermittent supply for energy-harvesting systems. IEEE Embedded Systems Letters, 7 (1), 15-18. (doi:10.1109/LES.2014.2371494).

Shafik, Rishad Ahmed, Das, Anup K., Yang, Sheng, Merrett, Geoff V. and Al-Hashimi, Bashir (2014) Learning-based runtime management of energy-efficient and reliable many-core systems. ESWEEK Workshop on Compiler Assisted SoC Assembly (CASA), Delhi, Union Territory of, India. 1 pp .

Maeda-Nunez, Luis Alfonso, Das, Anup K., Shafik, Rishad A., Merrett, Geoff V. and Al-Hashimi, Bashir (2015) PoGo: an application-specific adaptive energy minimisation approach for embedded systems. HiPEAC Workshop on Energy Efficiency with Heterogenous Computing (EEHCO). 19 - 21 Jan 2015. 6 pp .

Shafik, Rishad Ahmed, Das, Anup K., Yang, Sheng, Merrett, Geoff V. and Al-Hashimi, Bashir (2015) Adaptive energy minimization of OpenMP parallel applications on many-core systems. 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures, Amsterdam, Netherlands. 21 Jan 2015. 6 pp .

Walker, Matthew J., Das, Anup K., Merrett, Geoff V. and Hashimi, B.M. (2015) Run-time power estimation for mobile and embedded asymmetric multi-core CPUs. HIPEAC Workshop on Energy Efficiency with Heterogenous Computing, Amsterdam, Netherlands. 19 - 21 Jan 2015. 6 pp .

Merrett, Geoff V. (2013) New tricks with old sensors: Pervasive Technologies for Novel Applications. Sensors & their Applications XVII, Dubrovnik, Croatia. 15 - 17 Sep 2013. p. 12002 . (doi:10.1088/1742-6596/450/1/012002).

Zilli, Davide, Parson, Oliver, Merrett, Geoff V. and Rogers, Alex (2014) A hidden Markov model-based acoustic cicada detector for crowdsourced smartphone biodiversity monitoring. Journal of Artificial Intelligence Research, 51, 805-827. (doi:10.1613/jair.4434).

Shafik, Rishad Ahmed, Das, Anup K., Yang, Sheng, Merrett, Geoff V. and Al-Hashimi, Bashir (2015) Thermal-aware adaptive energy minimization of open MP parallel applications. DATE2015: Workshop on Designing with Uncertainty - Opportunities & Challenges in Conjunction with Design and Test in Europe (DATE) Conference, Grenoble, France. 09 - 13 Mar 2015. pp. 1-3 .

Singhanat, Krongboon, Jiang, Teng, Merrett, Geoff V and Harris, Nick R. (2014) Empirical Evaluation of OI-MAC: Direct Interconnection between Wireless Sensor Networks for Collaborative Monitoring. 2015 IEEE Sensors Applications Symposium, Zadar, Croatia. 11 - 14 Apr 2015. 5 pp . (In Press)

Das, Anup K., Walker, Mathew J., Merrett, Geoff V. and Hashimi, B.M. (2015) Reinforcement learning-based DPM-DVFS trade-off for thermal-aware power optimization of embedded systems. WIP at Design Automation Conference (DAC), San Francisco, United States. 06 - 10 Jun 2015. (In Press)

Shafik, Rishad Ahmed, Yang, Sheng, Das, Anup K., Maeda-Nunez, Luis Alfonso, Merrett, Geoff V. and Al-Hashimi, Bashir (2016) Learning transfer-based adaptive energy minimization in embedded systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35 (6), 877-890, [7308001]. (doi:10.1109/TCAD.2015.2481867).

Zia, Huma, Harris, Nick and Merrett, Geoff V. (2015) Data-driven low-complexity nitrate loss model utilizing sensor information – towards collaborative farm management with wireless sensor networks. 2015 IEEE Sensors Applications Symposium, Zadar, Croatia. 11 - 14 Apr 2015. 6 pp .

Das, Anup K., Walker, Matthew, Hansson, Andreas, Al-Hashimi, Bashir and Merrett, Geoff V. (2015) Hardware-software interaction for run-time power optimization: a case study of embedded linux on multicore smartphones. International Symposium on Low Power Electronics and Design, Rome, Italy. 21 - 23 Jul 2015.

Das, Anup, Walker, Matthew, Hansson, Andreas, Al-Hashimi, Bashir and Merrett, Geoffrey (2015) Hardware-Software Interaction for Run-time Power Optimization: A Case Study of Embedded Linux on Multicore Smartphones (Dataset). University of Southampton doi:10.5258/SOTON/377395 [Dataset]

Lau, Sei Ping, Merrett, Geoff V., Weddell, Alex S. and White, Neil M. (2015) A traffic-aware street lighting scheme for smart cities using autonomous networked sensors. Computers & Electrical Engineering, 45, 192-207. (doi:10.1016/j.compeleceng.2015.06.011).

Salehi Fathabadi, Asieh, Maeda-Nunez, Luis Alfonso, Butler, Michael, Al-Hashimi, Bashir and Merrett, Geoff (2015) Towards automatic code generation of run-time power management for embedded systems using formal methods. 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-15), Turin, Italy. 22 - 24 Sep 2015. 8 pp .

Intana, Adisak, Poppleton, Michael R. and Merrett, Geoff V. (2015) A model-based trace testing approach for validation of formal co-simulation models. Symposium on Theory of Modeling and Simulation 2015, Spring Simulation Multi-Conference 2015, Alexandria, United States. 11 - 14 Apr 2015. 8 pp .

Yang, Sheng, Shafik, Rishad Ahmed, Merrett, Geoff V., Stott, Edward, Levine, Joshua, Davis, James and Al-Hashimi, Bashir (2015) Adaptive energy minimization of embedded heterogeneous system using regression-based learning. In 2015 25th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS). IEEE. 8 pp . (doi:10.1109/PATMOS.2015.7347594).

Yang, Sheng, Shafik, Rishad Ahmed, Khursheed, Saqib, Flynn, David, Merrett, Geoff V. and Al-Hashimi, Bashir (2015) Application-specific memory protection policies for energy-efficient reliable design. IEEE International ESWEEK Symposium on Rapid System Prototyping, Amsterdam, Netherlands. 08 - 09 Oct 2015. 7 pp .

Tinsley, Nick F., Witts, Stuart T., Ansell, Jacob M. R., Barnes, Emily, Jenkins, Simeon M., Raveendran, Dhanushan, Merrett, Geoff V. and Weddell, Alex S. (2015) Poster Abstract: Enspect—Simplifying the Design of Energy Harvesting Systems. 13th ACM Conference on Embedded Networked Sensor Systems (SenSys 2015), Korea, Republic of, Korea, Republic of. 01 - 04 Nov 2015. 2 pp . (In Press) (doi:10.1145/2809695.2817887).

Lau, Sei Ping, Weddell, Alex S., White, Neil M. and Merrett, Geoff V. (2015) Poster Abstract: Solar-Powered Adaptive Street Lighting Evaluated with Real Traffic and Sunlight Data. 13th ACM Conference on Embedded Networked Sensor Systems (SenSys 2015), Korea, Republic of, Korea, Republic of. 01 - 04 Nov 2015. 2 pp . (In Press) (doi:10.1145/2809695.2817886).

Tinsley, Nick F., Witts, Stuart T., Ansell, Jacob M. R., Barnes, Emily, Jenkins, Simeon M., Raveendran, Dhanushan, Merrett, Geoff V. and Weddell, Alex S. (2015) Enspect: a Complete Tool using Modeling and Real Data to Assist the Design of Energy Harvesting Systems. 3rd International Workshop on Energy Neutral Sensing Systems (ENSsys 2015), Seoul, Korea, Republic of. 6 pp . (doi:10.1145/2820645.2820648).

Zia, H, Harris, N, Merrett, G and Rivers, M (2015) Validation of a Low Complexity Machine Learning Discharge Predictive Model. Computers and Electronics in Agriculture, 350-360. (doi:10.1016/j.compag.2015.09.012).

Das, Anup, Al-Hashimi, Bashir and Merrett, Geoff (2016) Adaptive and hierarchical run-time manager for energy-aware thermal management of embedded systems. ACM Transactions on Embedded Computing Systems, 15 (2), [24]. (doi:10.1145/2834120).

Das, Anup, Al-Hashimi, Bashir and Merrett, Geoffrey (2015) Adaptive and Hierarchical Run-time Manager for Energy-Aware Thermal Management of Embedded Systems. University of Southampton doi:10.5258/SOTON/382855 [Dataset]

Das, Anup, Merrett, Geoffrey, Tribastone, Mirco and Al-Hashimi, Bashir (2015) Workload Change Point Detection for Run-time Thermal Management of Embedded Systems. University of Southampton doi:10.5258/SOTON/383667 [Dataset]

Das, Anup, Merrett, Geoffrey, Tribastone, Mirco and Al-Hashimi, Bashir (2015) Workload change point detection for run-time thermal management of embedded systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1-16. (In Press)

SHAFIK, RISHAD A, Yang, Sheng, Das, Anup, Maeda-Nunez, Luis, Alfonso, Merrett, Geoffrey and Al-Hashimi, Bashir (2015) Learning transfer-based adaptive energy minimization in embedded systems. University of Southampton doi:10.5258/SOTON/383899 [Dataset]

Das, Anup, Merrett, Geoff V. and Al-Hashimi, Bashir M. (2015) The Slowdown or Race-to-idle Question: Workload-Aware Energy Optimization of SMT Multicore Platforms under Process Variation. Conference on Design, Automation and Test in Europe 2016, Dresden, Germany. 14 - 18 Mar 2016. 4 pp . (In Press) (doi:10.5258/SOTON/404445).

Balsamo, Domenico, Das, Anup, Weddell, Alex, Brunelli, Davide, Al-Hashimi, Bashir M., Merrett, Geoff V. and Benini, Luca (2016) Graceful performance modulation for power-neutral transient computing systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35 (5), 738-749, [7403941]. (doi:10.1109/TCAD.2016.2527713).

Balsamo, Domenico, Das, Anup, Weddell, Alexander, Brunelli, Davide, Al-Hashimi, Bashir, Merrett, Geoffrey and Benini, Luca (2016) Dataset supporting the article entitled “Graceful performance modulation for power neutral transient computing systems". University of Southampton doi:10.5258/SOTON/386876 [Dataset]

Singhanat, Krongboon, Harris, Nicholas and Merrett, Geoff (2016) Experimental validation of opportunistic direct interconnection between different wireless sensor networks. In 2016 IEEE Sensors Applications Symposium (SAS). IEEE. 6 pp . (doi:10.1109/SAS.2016.7479814).

Balsamo, Domenico, Weddell, Alexander, Das, Anup, Rodriguez Arreola, Alberto, Brunelli, Davide, Al-Hashimi, Bashir, Merrett, Geoffrey and Benini, Luca (2016) Data-set supporting the article entitled "Hibernus++: A Self-calibrating and Adaptive System for Transiently-Powered Embedded Devices". University of Southampton doi:10.5258/SOTON/389749 [Dataset]

Balsamo, Domenico, Weddell, Alex S., Das, Anup, Rodriguez Arreola, Alberto, Brunelli, Davide, Al-Hashimi, Bashir M., Merrett, Geoff V. and Benini, Luca (2016) Hibernus++: a self-calibrating and adaptive system for transiently-powered embedded devices. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35 (12), 1968-1980. (doi:10.1109/TCAD.2016.2547919).

Merrett, Geoff V. and Vaughan, Alun S. (2016) Improving Learning of Electronic Engineering Skills through e-Learning: a Case Study. 11th European Workshop on Microelectronics Education (EWME 2016), Southampton, United Kingdom. 10 - 12 May 2016. 5 pp . (In Press)

Merrett, Geoff V. (2016) Energy harvesting and transient computing: a paradigm shift for embedded systems? Design Automation Conference (DAC) 2016, Austin, United States. 04 - 08 Jun 2016. 2 pp . (doi:10.1145/2897937.2905011).

Merrett, Geoff V. (2016) Transient and power-neutral computing: a paradigm shift for embedded systems? "Hilariously Low-Power Computing" Workshop (ASPLOS 2016), Atlanta, United States.

Rodriguez Arreola, Alberto, Balsamo, Domenico, Das, Anup, Weddell, Alex S., Brunelli, Davide, Al-Hashimi, Bashir and Merrett, Geoff (2015) Approaches to Transient Computing for Energy Harvesting Systems - A Quantitative Evaluation. ENSsys '15 Proceedings of the 3rd International Workshop on Energy Harvesting Energy Neutral Sensing Systems, Seoul, Korea, Republic of. 01 - 04 Nov 2015. pp. 3-8 . (doi:10.1145/2820645.2820652).

Walker, Matthew, Diestelhorst, Stephan, Hansson, Andreas, Das, Anup, Yang, Sheng, Al-Hashimi, Bashir and Merrett, Geoffrey (2016) Dataset supporting the article entitled "Accurate and Stable Run-Time Power Modeling for Mobile and Embedded CPUs". University of Southampton doi:10.5258/SOTON/393673 [Dataset]

Walker, Matthew, Diestelhorst, Stephan, Hansson, Andreas, Das, Anup, Yang, Sheng, Al-Hashimi, Bashir M. and Merrett, Geoff V. (2017) Accurate and stable run-time power modeling for mobile and embedded CPUs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36 (1), 106-119. (doi:10.1109/TCAD.2016.2562920).

Merrett, Geoff V. (2016) Energy-driven computing for energy-harvesting embedded systems. ARM Research Summit 2016, Cambridge, United Kingdom. 14 - 15 Sep 2016. (In Press)

Walker, Matthew, Diestelhorst, Stephan, Hansson, Andreas, Balsamo, Domenico, Merrett, Geoffrey and Al-Hashimi, Bashir (2016) Dataset supporting the paper entitled "Thermally-Aware Composite Run-Time CPU Power Models". University of Southampton doi:10.5258/SOTON/398554 [Dataset]

Walker, Matthew J., Diestelhorst, Stephan, Hansson, Andreas, Balsamo, Domenico, Merrett, Geoff V. and Al-Hashimi, Bashir M. (2016) Thermally-aware composite run-time CPU power models. International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS 2016), Bremen, Germany. 20 - 22 Sep 2016. 8 pp . (In Press)

Martinez, Daniel, Shafik, Rishad, Acharyya, Amit and Merrett, Geoff V (2016) Design and implementation of an adaptive learning system: an MSc project experience. 11th European Workshop on Microelectronics Education (EWME), Southampton, United Kingdom. 11 - 13 May 2016. 6 pp . (doi:10.1109/EWME.2016.7496481).

Fletcher, Benjamin, James, Balsamo, Domenico and Merrett, Geoffrey (2016) Dataset supporting the paper entitled “Power neutral performance scaling for energy harvesting MP-SoCs”. University of Southampton doi:10.5258/SOTON/403155 [Dataset]

Fletcher, Benjamin J., Balsamo, Domenico and Merrett, Geoff V. (2017) Power neutral performance scaling for energy harvesting MP-SoCs. In 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE.. (doi:10.23919/DATE.2017.7927231).

Merrett, Geoffrey and Al-Hashimi, Bashir (2016) Dataset supporting the article entitled "Energy-Driven Computing: Rethinking the Design of Energy Harvesting Systems". University of Southampton doi:10.5258/SOTON/404058 [Dataset]

Biswas, Dwaipayan, Balagopal, Vibishna, Shafik, Rishad, Ahmed, Al-Hashimi, Bashir and Merrett, Geoffrey (2016) Dataset supporting the article entitled "Machine Learning for Run-Time Energy Optimisation in Many-Core Systems". University of Southampton doi:10.5258/SOTON/404064 [Dataset]

Merrett, Geoffrey (2017) Dataset supporting the article entitled "Energy Harvesting and Transient Computing: A Paradigm Shift for Embedded Systems?". University of Southampton doi:10.5258/SOTON/404069 [Dataset]

Merrett, Geoff V and Al-Hashimi, Bashir B M (2017) Energy-Driven Computing: Rethinking the Design of Energy Harvesting Systems. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017. IEEE. 6 pp . (doi:10.23919/DATE.2017.7927130).

Das, Anup, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Dataset supporting the article entitled "The Slowdown or Race-to-idle Question: Workload-Aware Energy Optimization of SMT Multicore Platforms under Process Variation". University of Southampton doi:10.5258/SOTON/404445 [Dataset]

Biswas, Dwaipayan, Balagopal, Vibishna, Shafik, Rishad, Al-Hashimi, Bashir B M and Merrett, Geoff V (2017) Machine Learning for Run-Time Energy Optimisation in Many-Core Systems. In 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE. 5 pp .

Rodriguez Arreola, Alberto, Balsamo, Domenico, Zhenhua, Luo, Beeby, Stephen, Merrett, Geoffrey and Weddell, Alexander (2017) Dataset supporting the Paper titled: Intermittently-Powered Energy Harvesting Step Counter for Fitness Tracking. University of Southampton doi:10.5258/SOTON/405149 [Dataset]

Rodriguez Arreola, Alberto, Balsamo, Domenico, Zhenhua, Luo, Beeby, Stephen, Merrett, Geoff V. and Weddell, Alex S. (2017) Intermittently-powered energy harvesting step counter for fitness tracking. IEEE Sensors Applications Symposium (SAS) 2017, Rowan Univeristy, Glassboro, United States. 13 - 15 Mar 2017.

Leech, Charles, Raykov, Yordan P., Ozer, Emre and Merrett, Geoff V. (2017) Real-time room occupancy estimation with Bayesian machine learning using a single PIR sensor and microcontroller. IEEE Sensors Applications Symposium (SAS) 2017, Rowan Univeristy, Glassboro, United States. 13 - 15 Mar 2017. 6 pp .

Leech, Charles, Raykov, Yordan P., Ozer, Emre and Merrett, Geoffrey (2017) Dataset supporting the conference paper entitled "Real-time Room Occupancy Estimation with Bayesian Machine Learning using a Single PIR Sensor and Microcontroller". University of Southampton [Dataset]

Basireddy, Karunakar Reddy, Singh, Amit, Merrett, Geoff V. and Al-Hashimi, Bashir M. (2017) ITMD: run-time management of concurrent multi-threaded applications on heterogeneous multi-cores. Conference on Design, Automation and Test in Europe 2017 (DATE'17), Swisstech, Lausanne, Switzerland. 27 - 31 Mar 2017. 1 pp .

Balsamo, Domenico, Elboreini, Ali, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Dataset supporting the Paper titled: Exploring ARM mbed Support for Transient Computing in Energy Harvesting IoT Systems. University of Southampton doi:10.5258/SOTON/D0102 [Dataset]

Leech, Charles, Basireddy, Karunakar Reddy, Singh, Amit, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Dataset for Learning-based Run-time Power and Energy Management of Multi/Many-core Systems: Current and Future Trends. University of Southampton doi:10.5258/SOTON/D0109 [Dataset]

Bantock, James, Robert Benjamin, Tenentes, Vasileios, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Online tuning of Dynamic Power Management for efficient execution of interactive workloads. In IEEE/ACM International Symposium on Low Power Electronics and Design. IEEE. 6 pp . (doi:10.1109/ISLPED.2017.8009195).

Balsamo, Domenico, Elboreini, Ali, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Exploring ARM mbed support for transient computing in energy harvesting IoT systems. 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017. 15 - 16 Jun 2017. (doi:10.1109/IWASI.2017.7974230).

Bantock, James, Robert Benjamin, Tenentes, Vasileios, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Dataset for Online Tuning of Dynamic Power Management for Efficient Execution of Interactive Workloads. University of Southampton doi:10.5258/SOTON/D0100 [Dataset]

Vougioukas, Ilias (2017) Complementary dataset to "Nucleus: Finding the sharing limit of heterogeneous cores". University of Southampton doi:10.5258/SOTON/D0161 [Dataset]

Singh, Amit, Prakash, Alok, Basireddy, Karunakar Reddy, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Dataset supporting the article entitled "Energy-Efficient Run-time Mapping and Thread Partitioning of Concurrent OpenCL Applications on CPU-GPU MPSoCs". University of Southampton doi:10.5258/SOTON/D0164 [Dataset]

Vala, Charan Kumar, Leech, Charles and Merrett, Geoffrey (2017) High Speed Low Complexity Guided Image Filtering Based Disparity Estimation. University of Southampton doi:10.5258/SOTON/D0170 [Dataset]

Basireddy, Karunakar Reddy, Walker, Matthew, Balsamo, Domenico, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Dataset for Empirical CPU Power Modelling and Estimation in the gem5 Simulator. University of Southampton doi:10.5258/SOTON/D0173 [Dataset]

Singh, Amit, Prakash, Alok, Basireddy, Karunakar Reddy, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Energy efficient run-time mapping and thread partitioning of concurrent OpenCL applications on CPU-GPU MPSoCs. ACM Transactions on Embedded Computing Systems. (doi:10.1145/3126548).

Basireddy, Karunakar Reddy, Walker, Matthew, Balsamo, Domenico, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Empirical CPU power modelling and estimation in the gem5 simulator. In 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS). IEEE. pp. 1-8 . (doi:10.1109/PATMOS.2017.8106988).

Singh, Amit, Leech, Charles, Basireddy, Karunakar Reddy, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Learning-based run-time power and energy management of multi/many-core systems: current and future trends. Journal of Low Power Electronics. (doi:10.1166/jolpe.2017.1492).

Merrett, Geoffrey (2017) Power-neutral computing for IoT devices. ARM Research Summit 2017, Robinson College, Cambridge, United Kingdom. 11 - 13 Sep 2017. (In Press)

Merrett, Geoffrey (2017) CPU power estimation using PMCs and its application in gem5. ARM Research Summit 2017, Robinson College, Cambridge, United Kingdom. 11 - 13 Sep 2017. (In Press)

Vougioukas, Ilias, Sandberg, Andreas, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Nucleus: finding the sharing limit of heterogeneous cores. ACM Transactions on Embedded Computing Systems, 16 (5s). (doi:10.1145/3126544).

Tenentes, Vasileios, Leech, Charles, Bragg, Graeme, Merrett, Geoffrey, Al-Hashimi, Bashir, Amrouch, Hussam, Henkel, Jörg and Das, Shidhartha (2017) Hardware and software innovations in energy-efficient system-reliability monitoring. In IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems. IEEE. 5 pp . (In Press) (doi:10.1109/DFT.2017.8244435).

Leech, Charles (2017) Dataset supporting the paper entitled "Run-time Performance and Power Optimization of a Parallel Disparity Estimation Algorithm on Many-Core Platforms". University of Southampton doi:10.5258/SOTON/D0221 [Dataset]

Leech, Charles, Vala, Charan Kumar, Acharyya, Amit, Yang, Sheng, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Run-time performance and power optimization of parallel disparity estimation on many-core platforms. ACM Transactions on Embedded Computing Systems. (In Press)

Vala, Charan Kumar, Immadisetty, Koushik, Acharyya, Amit, Leech, Charles, Balagopal, Vibishna, Merrett, Geoff V. and Al-Hashimi, Bashir (2018) High-speed low-complexity guided image filtering-based disparity estimation. IEEE Transactions on Circuits and Systems I: Regular Papers, 65 (2), 606-617. (doi:10.1109/TCSI.2017.2729084).

Senkans, Uvis, Balsamo, Domenico, Verykios, Theodoros and Merrett, Geoffrey (2017) Dataset supporting the Poster titled: "Applications of Energy-Driven and Transient Computing: A Wireless Bicycle Trip Counter". University of Southampton doi:10.5258/SOTON/D0235 [Dataset]

Senkans, Uvis, Balsamo, Domenico, Verykios, Theodoros D. and Merrett, Geoff V. (2017) Applications of energy-driven and transient computing: a wireless bicycle trip counter. 15th ACM Conference on Embedded Networked Sensor Systems, , Delft, Netherlands. 05 - 08 Nov 2017. (In Press)

Lazarescu, Bogdan, Balsamo, Domenico and Merrett, Geoff (2017) ARM mbed support for transient computing in energy harvesting IoT systems. ARM Research Summit 2017, Robinson College, Cambridge, United Kingdom. 11 - 13 Sep 2017. 1 pp .

Balsamo, Domenico, Merrett, Geoff V., Zaghari, Bahareh, Wei, Yang, Ramchurn, Sarvapali, Stein, Sebastian, Weddell, Alexander and Beeby, Stephen (2017) Wearable and autonomous computing for future smart cities: open challenges. In 25th International Conference on Software, Telecommunications and Computer Networks (SoftCOM). IEEE. 5 pp . (doi:10.23919/SOFTCOM.2017.8115596).

Basireddy, Karunakar Reddy, SINGH, AMIT K, Biswas, Dwaipayan, Merrett, Geoff and Al-Hashimi, Bashir (2017) Dataset supporting the article entitled "Inter-cluster Thread-to-core Mapping and DVFS on Heterogeneous Multi-cores". University of Southampton doi:10.5258/SOTON/D0249 [Dataset]

Firouzi, Farshad, Rahmani, Amir, Mankodiya, K., Badaroglu, M., Merrett, G., Wong, P. and Farahani, B. (2018) Internet-of-Things and big data for smarter healthcare: from device to architecture, applications and analytics. Future Generation Computer Systems, 78 (Part 2), 583-586. (doi:10.1016/j.future.2017.09.016).

Verykios, Theodoros D., Balsamo, Domenico and Merrett, Geoff (2017) Selective policies for efficient state retention in transiently-powered systems. ARM Research Summit 2017, Robinson College, Cambridge, United Kingdom. 11 - 13 Sep 2017.

Weber Wachter, Eduardo and Singh, Amit (2017) Dataset for Reliable Mapping and Partitioning of Performance-constrained OpenCL Applications on CPU-GPU MPSoCs. University of Southampton doi:10.5258/SOTON/D0258 [Dataset]

Senkans, Uvis, Balsamo, Domenico, Verykios, Theodoros and Merrett, Geoff (2017) Dataset supporting the Paper titled: "Applications of Energy-Driven Computing: A Transiently-Powered Wireless Cycle Computer". University of Southampton doi:10.5258/SOTON/D0260 [Dataset]

Rodriguez Arreola, Alberto, Balsamo, Domenico, Merrett, Geoff and Weddell, Alexander (2017) Dataset supporting: A Generic Middleware for External Peripheral State Retention in Transiently-Powered Sensor Systems. University of Southampton doi:10.5258/SOTON/D0264 [Dataset]

Senkans, Uvis, Balsamo, Domenico, Verykios, Theodoros D. and Merrett, Geoff V. (2017) Applications of energy-driven computing: a transiently-powered wireless cycle computer. 5th International Workshop on Energy Harvesting & Energy-Neutral Sensing Systems: ENSsys 2017, , Delft, Netherlands. 05 - 08 Nov 2017.

Reddy, Basireddy Karunakar, Singh, Amit, Biswas, Dwaipayan, Merrett, Geoff and Al-Hashimi, Bashir (2017) Inter-cluster thread-to-core mapping and DVFS on heterogeneous multi-cores. IEEE Transactions on Multiscale Computing Systems, 1-14. (doi:10.1109/TMSCS.2017.2755619).

Rodriguez Arreola, Alberto, Balsamo, Domenico, Merrett, Geoffrey and Weddell, Alexander (2017) A generic middleware for external peripheral state retention in transiently-powered sensor systems. 5th International Workshop on Energy Harvesting & Energy-Neutral Sensing Systems: ENSsys 2017, , Delft, Netherlands. 05 - 08 Nov 2017. (doi:10.1145/3142992.3143000).

Verykios, Theodoros, Balsamo, Domenico and Merrett, Geoff (2017) Dataset supporting the Extended Abstract titled: "Exploring Energy Efficient State Retention in Transiently-Powered Computing Systems". University of Southampton doi:10.5258/SOTON/D0276 [Dataset]

Weber Wachter, Eduardo, Merrett, Geoff V., Singh, Amit and Al-Hashimi, Bashir (2017) Reliable mapping and partitioning of performance-constrained OpenCL Applications on CPU-GPU MPSoCs. 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia, , Seoul, Korea, Republic of. 15 - 20 Oct 2017. (doi:10.1145/3139315.3157088).

Verykios, Theodoros D., Balsamo, Domenico and Merrett, Geoff V. (2017) Exploring energy efficient state retention in transiently-powered computing systems. IDEA League Doctoral School on Transiently Powered Computing, TU Delft, Delft, Netherlands. 08 - 10 Nov 2017.

Sugiarto, Indar, Furber, Stephen, Shang, Delong, Singh, Amit, Ouni, Bassem, Merrett, Geoff and Al-Hashimi, Bashir (2018) Software-defined PMC for runtime power management of a many-core neuromorphic platform. In Proceedings of ICCES 2017 12th International Conference on Computer Engineering and Systems. vol. 2018-January, IEEE. pp. 641-646 . (doi:10.1109/ICCES.2017.8275383).

Basireddy, Karunakar Reddy, Singh, Amit, Merrett, Geoff and Al-Hashimi, Bashir (2017) Dataset supporting the article entitled "Online Concurrent Workload Classification for Multi-core Energy Management". University of Southampton doi:10.5258/SOTON/D0308 [Dataset]

Basireddy, Karunakar Reddy, Singh, Amit, Merrett, Geoff and Al-Hashimi, Bashir (2018) Online concurrent workload classification for multi-core energy management. IEEE Design, Automation & Test in Europe, , Dresden, Germany. 19 - 23 Mar 2018. pp. 621-624 .

Salehi Fathabadi, Asieh, Butler, Michael J., Yang, Sheng, Maeda-Nunez, Luis, Bantock, James, Al-Hashimi, Bashir M. and Merrett, Geoff V. (2018) A model-based framework for software portability and verification in embedded power management systems. Journal of Systems Architecture, 82, 12-23. (doi:10.1016/j.sysarc.2017.12.001).

Rodriguez Arreola, Alberto, Balsamo, Domenico, Merrett, Geoff and Weddell, Alexander (2018) Dataset supporting: RESTOP: Retaining External Peripheral State in Intermittently-Powered Sensor Systems. University of Southampton doi:10.5258/SOTON/D0373 [Dataset]

Rodriguez Arreola, Alberto, Balsamo, Domenico, Merrett, Geoff and Weddell, Alexander (2018) RESTOP: retaining external peripheral state in intermittently-powered sensor systems. Sensors, 18 (1), 1-19, [172]. (doi:10.3390/s18010172).

Walker, Matthew, Bischoff, Sascha, Diestelhorst, Stephan, Merrett, Geoff and Al-Hashimi, Bashir (2018) Hardware-validated CPU performance and energy modelling. 2018 IEEE International Symposium on Performance Analysis of Systems and Software, Queens University, Belfast, United Kingdom. 02 - 04 Apr 2018. 10 pp . (doi:10.1109/ISPASS.2018.00013).

Walker, Matthew (2018) Dataset supporting the paper entitled "Hardware-Validated CPU Performance and Energy Modelling". Southampton, UK doi:10.5258/SOTON/D0420 [Dataset]

Basireddy, Karunakar Reddy, Weber Wachter, Eduardo, Al-Hashimi, Bashir and Merrett, Geoff (2018) Dataset for "Workload-Aware Runtime Energy Management for HPC Systems". University of Southampton doi:10.5258/SOTON/D0517 [Dataset]

Basireddy, Karunakar Reddy, Wachter, Eduardo W., Al-Hashimi, Bashir M. and Merrett, Geoff V. (2018) Workload-aware runtime energy management for HPC systems. In International Workshop on Optimization of Energy Efficient HPC & Distributed Systems (OPTIM 2018). 8 pp . (In Press)

Basireddy, Karunakar Reddy, Weber Wachter, Eduardo, Al-Hashimi, Bashir and Merrett, Geoff (2018) Dataset supporting the article entitled "Memory and Thread Synchronization Contention-Aware DVFS for HPC systems". University of Southampton doi:10.5258/SOTON/D0547 [Dataset]

Basireddy, Karunakar Reddy, Weber Wachter, Eduardo, Al-Hashimi, Bashir and Merrett, Geoff (2018) Memory and thread synchronization contention-aware DVFS for HPC systems. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018. 1 pp .

Walker, Matthew, Diestelhorst, Stephan, Merrett, Geoff and Al-Hashimi, Bashir (2018) Accurate and stable empirical CPU power modelling for multi- and many-core systems. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018.

Bragg, Graeme McLachlan, Leech, Charles R., Balsamo, Domenico, Davis, James J., Weber Wachter, Eduardo, Merrett, Geoff, Constantinides, George A. and Al-Hashimi, Bashir (2018) An application- and platform-agnostic control and monitoring framework for multicore systems. 3rd International Conference on Pervasive and Embedded Computing, , Porto, Portugal. 29 - 30 Jul 2018.

Verykios, Theodoros, Balsamo, Domenico and Merrett, Geoff (2018) Dataset supporting the Paper titled: "Selective Policies for Efficient State Retention in Transiently-Powered Embedded Systems: Exploiting Properties of NVM Technologies". University of Southampton doi:10.5258/SOTON/D0590 [Dataset]

Balsamo, Domenico, Fletcher, Benjamin, James and Merrett, Geoff (2018) Power-neutral performance scaling for self-powered multicore computing systems. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018.

Bragg, Graeme McLachlan, Balsamo, Domenico, Leech, Charles R and Merrett, Geoff (2018) The PRiME Framework: Application- & platform-agnostic system management. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018.

Merrett, Geoff (2018) PRiME: Power-efficient Reliable Many-core Embedded systems. International Symposium on Many-Core Computing: Hardware and Software, Chilworth Manor, Southampton, United Kingdom. 17 - 18 Jan 2018.

Merrett, Geoff (2018) Run-time power management of multi- and many-core systems. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018.

Leech, Charles R., Bragg, Graeme McLachlan, Balsamo, Domenico, Weber Wachter, Eduardo, Merrett, Geoff and Al-Hashimi, Bashir (2018) Application control and monitoring in heterogeneous multiprocessor systems. 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, , Lille, France. 09 - 11 Jul 2018. 8 pp .

Verykios, Theodoros D., Balsamo, Domenico and Merrett, Geoff V. (2018) Selective policies for efficient state retention in transiently-powered embedded systems: exploiting properties of NVM technologies. Sustainable Computing: Informatics and Systems. (doi:10.1016/j.suscom.2018.07.003).

Ortega Alban, Andre, Paola (2018) Data for 'Automated Negotiation for Opportunistic Energy Trading Between Neighbouring Wireless Sensor Networks'. University of Southampton doi:10.5258/SOTON/D0621 [Dataset]

Ortega, Andre P., Merrett, Geoff and Ramchurn, Sarvapali (2018) Automated negotiation for opportunistic energy trading between neighbouring wireless sensor networks. 2018 IEEE International Conference on Communications, Control, and Computing Technologies for Smart Grids, , Aalborg, Denmark. 29 - 31 Oct 2018. 6 pp . (In Press)

Merrett, Geoff (2018) Run-time power and energy management of many-core systems. Arm Research Summit 2018, Robinson College, Cambridge, United Kingdom. 17 - 19 Sep 2018.

Wong, Samuel Chang Bing, Balsamo, Domenico and Merrett, Geoff (2018) Demo abstract: An energy-driven wireless bicycle trip counter with zero energy storage. The 16th ACM Conference on Embedded Networked Sensor Systems (SenSys 2018), China. 04 - 07 Nov 2018. 2 pp .

Vougioukas, Ilias, Sandberg, Andreas, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoff (2018) Will it blend? Merging heterogeneous cores. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018. 3 pp . (In Press)

Sliper, Sivert Tvedt, Balsamo, Domenico, Weddell, Alexander and Merrett, Geoff (2018) Enabling intermittent computing on high-performance out-of-order processors. 6th International Workshop on Energy Harvesting & Energy-Neutral Sensing Systems, , Shenzhen, China. 04 Nov 2018. 7 pp .

Balsamo, Domenico, Bragg, Graeme McLachlan, Leech, Charles and Merrett, Geoff (2018) Application- and platform-agnostic runtime power management of heterogeneous embedded systems. 2018 Design, Automation and Test in Europe Conference and Exhibition, , Dresden, Germany. 19 - 23 Mar 2018.

Merrett, Geoff (2018) Run-time power and energy management of multi- and many-core systems. Embedded Systems Week 2018: Tutorial on Spectrum of Run-time Management for Modern and Next Generation Multi/Many-core Systems, , Turin, Italy. 30 Sep 2018. 44 pp .

Singh, Amit K., Merrett, Geoff, Rahmani, Amir and Kumar, Akash (2018) Spectrum of run-time management for modern and next generation multi/many-core systems. Embedded Systems Week 2018: Tutorial on Spectrum of Run-time Management for Modern and Next Generation Multi/Many-core Systems, , Turin, Italy. 30 Sep 2018. 2 pp .

Balsamo, Domenico, Fletcher, Benjamin, James, Weddell, Alexander, Karatziolas, Giorgos, Al-Hashimi, Bashir and Merrett, Geoff (2019) Power neutral performance scaling with intrinsic MPPT for energy harvesting computing systems. ACM Transactions on Embedded Computing Systems, 17 (6), 93:1-93:25, [93]. (doi:10.1145/3281300).

Balsamo, Domenico, Fletcher, Benjamin, James, Weddell, Alexander, Karatziolas, Giorgos, Al-Hashimi, Bashir and Merrett, Geoff (2018) Data-set supporting the article entitled "Power Neutral Performance Scaling with Intrinsic MPPT for Energy Harvesting Computing Systems". University of Southampton doi:10.5258/SOTON/D0679 [Dataset]

Vougioukas, Ilias, Sandberg, Andreas, Nikoleris, Nikos, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoff (2018) BRB: mitigating branch predictor side-channels. International Symposium on High-Performance Computer Architecture, Washington DC, Washington DC, United States. 16 - 20 Feb 2019. 12 pp . (In Press)

Vougioukas, Ilias (2019) Complementary dataset to "BRB: Mitigating Branch Predictor Side-Channels.". University of Southampton doi:10.5258/SOTON/D0739 [Dataset]

Reddy Basireddy, Karunakar, Wachter, Eduardo Weber, Al-Hashimi, Bashir M. and Merrett, Geoff (2018) Workload-Aware runtime energy management for HPC Systems. In Proceedings - 2018 International Conference on High Performance Computing and Simulation, HPCS 2018. Institute of Electrical and Electronics Engineers Inc. pp. 292-299 . (doi:10.1109/HPCS.2018.00057).

Weber wachter, Eduardo, Bellefroid, Cédric de, Basireddy, Karunakar Reddy, Singh, Amit Kumar, Al-Hashimi, Bashir and Merrett, Geoff (2019) Dataset Supporting the article entitled "Predictive Thermal Management for Energy-efficient Execution of Concurrent Applications on Heterogeneous Multi-cores". University of Southampton doi:10.5258/SOTON/D0793 [Dataset]

Wächter, Eduardo Weber, De Bellefroid, Cédric, Basireddy, Karunakar Reddy, Singh, Amit Kumar, Al-Hashimi, Bashir M. and Merrett, Geoff (2019) Predictive thermal management for energy-efficient execution of concurrent applications on heterogeneous multicores. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27 (6), 1404-1415, [8645825]. (doi:10.1109/TVLSI.2019.2896776).

Das, Anup, Balsamo, Domenico, Merrett, Geoff, Al-Hashimi, Bashir and Catthoor, Francky (2018) Graceful performance adaption through hardware-software interaction for autonomous battery management of multicore smartphones. THE 9th International Green and Sustainable Computing Conference. 6 pp . (Submitted)

Sliper, Sivert Tvedt (2019) Dataset supporting "Efficient State Retention through Paged Memory Management for Reactive Transient Computing". University of Southampton doi:10.5258/SOTON/D0835 [Dataset]

Bing, Samuel Wong Chang, Balsamo, Domenico and Merrett, Geoff V. (2018) Demo abstract: an energy-driven wireless bicycle trip counter with zero energy storage. In SenSys 2018 - Proceedings of the 16th Conference on Embedded Networked Sensor Systems. ACM Press. pp. 404-405 . (doi:10.1145/3274783.3275205).

Papandroulidakis, Georgios, Serb, Alexantrou, Khiat, Ali, Merrett, Geoff and Prodromakis, Themis (2019) Dataset for "Practical Implementation of Memristor-Based Threshold Logic Gates". University of Southampton doi:10.5258/SOTON/D0824 [Dataset]

Sliper, Sivert T., Balsamo, Domenico, Nikoleris, Nikos, Wang, William, Weddell, Alexander and Merrett, Geoff (2019) Efficient state retention through paged memory management for reactive transient computing. Design Automation Conference, Las Vegas Convention Centre, Las Vegas, United States. 02 - 06 Jun 2019. 6 pp . (doi:10.1145/3316781.3317812).

Balsamo, Domenico, Magno, Michele, Kubara, Kacper, Lazarescu, Bogdan and Merrett, Geoff (2019) Dataset supporting the article entitled "Energy Harvesting Meets IoT: Fuelling Adoption of Transient Computing in Embedded Systems". University of Southampton doi:10.5258/SOTON/D0885 [Dataset]

Balsamo, Domenico, Magno, Michele, Kubara, Kacper, Lazarescu, Bogdan, Merrett, Geoff and Cetinkaya, Oktay (2019) Energy harvesting meets IoT: fuelling adoption of transient computing in embedded systems. In 2019 IEEE 5th World Forum on Internet of Things (WF-IoT): 2019 IEEE 5th World Forum on Internet of Things (WF-IoT). IEEE. 5 pp . (doi:10.1109/WF-IoT.2019.8767302).

Cetinkaya, Oktay (2019) Dataset for "Energy-neutral Wireless-powered Networks". University of Southampton doi:10.5258/SOTON/D0928 [Dataset]

Papandroulidakis, Georgios, Michalas, Loukas, Serb, Alexantrou, Khiat, Ali, Merrett, Geoff and Prodromakis, Themis (2019) A digital in-analogue out logic gate based on metal-oxide memristor devices. In 2019 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE. 5 pp . (doi:10.1109/ISCAS.2019.8702778).

Papandroulidakis, Georgios, Serb, Alexantrou, Khiat, Ali, Merrett, Geoff and Prodromakis, Themis (2019) Practical implementation of memristor-based threshold logic gate. IEEE Transactions on Circuits and Systems I: Regular Papers, 66 (8), 3041-3051. (doi:10.1109/TCSI.2019.2902475).

Papandroulidakis, Georgios, Serb, Alexantrou, Khiat, Ali, Merrett, Geoff and Prodromakis, Themis (2019) Practical implementation of digital in-analogue out memristor-based threshold logic circuit. International Conference on Memristive Materials, Devices & Systems (MEMRISYS) 2019, , Dresden, Germany. 08 - 11 Jul 2019. 1 pp .

Walker, Matthew, James, Merrett, Geoff and Al-Hashimi, Bashir (2019) Power modelling of multicore systems. In, Al-Hashimi, Bashir M. and Merrett, Geoff (eds.) Many-Core Computing: Hardware and Software.

Singh, Amit Kumar, Dziurzanski, Piotr, Merrett, Geoff and Al-Hashimi, Bashir (2019) Tools and workloads for many-core computing. In, Al-Hashimi, Bashir M. and Merrett, Geoff V. (eds.) Many-Core Computing: Hardware and software. Institution of Engineering and Technology.

Cetinkaya, Oktay, Dinc, Ergin, Koca, Caglar, Merrett, Geoff and Akan, Ozgur B. (2019) Energy-neutral wireless-powered networks. IEEE Wireless Communications Letters, 8 (5), 1373-1376. (doi:10.1109/LWC.2019.2918527).

Merrett, Geoff (2019) Managing power in heterogeneous multicore systems. 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, University of York, York, United Kingdom. 01 - 03 Jul 2019. 47 pp .

Aquino, Gibeon, Queiroz, Rafael, Merrett, Geoff and Al-Hashimi, Bashir (2019) The circuit breaker pattern targeted to future IoT applications. Yangui, S., Bouassida Rodriguez, I., Drira, K. and Tari, Z. (eds.) In Service-Oriented Computing. ICSOC 2019. vol. 11895, Springer. pp. 390-396 . (doi:10.1007/978-3-030-33702-5_30).

Basireddy, Karunakar Reddy, Singh, Amit K, Al-Hashimi, Bashir and Merrett, Geoffrey (2018) Dataset supporting the article entitled "AdaMD: Adaptive Mapping and DVFS for Energy-efficient Heterogeneous Multi-cores". University of Southampton doi:10.5258/SOTON/D1041 [Dataset]

Basireddy, Karunakar R., Singh, Amit Kumar, Al-Hashimi, Bashir and Merrett, Geoff V. (2019) AdaMD: Adaptive mapping and DVFS for energy-efficient heterogeneous multi-cores. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. (doi:10.1109/TCAD.2019.2935065).

Verykios, Theodoros (2019) Dataset for: Efficient State Retention in Transiently Powered Computing Systems. University of Southampton doi:10.5258/SOTON/D1071 [Dataset]

Singh, Amit Kumar, Basireddy, Karunakar Reddy, Prakash, Alok, Merrett, Geoffrey and Al-Hashimi, Bashir (2019) Dataset supporting the article entitled "Collaborative Adaptation for Energy-Efficient Heterogeneous Mobile SoCs". University of Southampton doi:10.5258/SOTON/D1077 [Dataset]

Singh, Amit Kumar, Merrett, Geoff and Al-Hashimi, Bashir (2018) Adaptation in heterogeneous multi-core SoCs. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018. 3 pp .

Singh, Amit Kumar, Basireddy, Karunakar Reddy, Prakash, Alok, Merrett, Geoff and Al-Hashimi, Bashir (2020) Collaborative adaptation for energy-efficient heterogeneous mobile SoCs. IEEE Transactions on Computers, 69 (2), 185-197, [8859334]. (doi:10.1109/TC.2019.2943855).

Xun, Lei, Tran-Thanh, Long, Al-Hashimi, Bashir and Merrett, Geoff (2020) Optimising resource management for embedded machine learning. Di Natale, Giorgio, Bolchini, Cristiana and Vatajelu, Elena-Ioana (eds.) In Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020. pp. 1556-1561 . (doi:10.23919/DATE48585.2020.9116235).

Xun, Lei (2019) Dataset for "Optimising Resource Management for Embedded Machine Learning". University of Southampton doi:10.5258/SOTON/D1154 [Dataset]

Szypicyn, Jakub, Papavassiliou, Christos, Papandroulidakis, Georgios, Merrett, Geoff, Serb, Alexantrou, Stathopoulos, Spyros and Prodromakis, Themistoklis (2019) Memristor-enabled reconfigurable integrated circuit. In IEEE International Conference on Electronics, Information and Communication 2020. IEEE.. (In Press)

Sliper, Sivert Tvedt (2020) Dataset supporting "Fused: Closed-Loop Performance and Energy Simulation of Embedded Systems". University of Southampton doi:10.5258/SOTON/D1200 [Dataset]

Cetinkaya, Oktay (2020) Dataset for: Efficient Deployment of UAV-powered Sensors for Optimal Coverage and Connectivity. University of Southampton doi:10.5258/SOTON/D1221 [Dataset]

Cetinkaya, Oktay and Merrett, Geoff (2020) Efficient deployment of UAV-powered sensors for optimal coverage and connectivity. In IEEE Wireless Communications and Networking Conference (WCNC) '20. IEEE. 6 pp . (In Press)

Xun, Lei (2020) Dataset for "Incremental Training and Group Convolution Pruning for Runtime DNN Performance Scaling on Heterogeneous Embedded Platforms". University of Southampton doi:10.5258/SOTON/D1245 [Dataset]

Xun, Lei, Tran-Thanh, Long, Al-Hashimi, Bashir and Merrett, Geoff (2020) Incremental training and group convolution pruning for runtime DNN performance scaling on heterogeneous embedded platforms. In 1st ACM/IEEE Workshop on Machine Learning for CAD (MLCAD 2019). pp. 1-6 .

Sliper, Sivert T., Cetinkaya, Oktay, Weddell, Alexander, Al-Hashimi, Bashir and Merrett, Geoff (2020) Energy-driven computing. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 378 (2164), 1-4, [20190158]. (doi:10.1098/rsta.2019.0158).

Singh, Amit Kumar, Dey, Somdip, Basireddy, Karunakar Reddy, McDonald-Maier, Klaus, Merrett, Geoff and Al-Hashimi, Bashir (2020) Dynamic energy and thermal management of multi-core mobile platforms: a survey. IEEE Design and Test, 37 (5), 25-33, [9044742]. (doi:10.1109/MDAT.2020.2982629).

Sliper, Sivert T., Wang, William, Nikoleris, Nikos, Weddell, Alexander and Merrett, Geoff (2020) Fused: closed-loop performance and energy simulation of embedded systems. 2020 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), , Boston, United States. 23 - 25 Aug 2020. pp. 110-112 . (doi:10.1109/ISPASS48437.2020.00046).

Cetinkaya, Oktay, Balsamo, Domenico and Merrett, Geoff (2020) Internet of MIMO things: UAV-assisted wireless-powered networks for Future Smart cities. IEEE Internet of Things Magazine, 3 (1), 8-13. (doi:10.1109/IOTM.0001.1900064).

Bantock, James, Robert Benjamin, Al-Hashimi, Bashir and Merrett, Geoff (2020) Dataset for Mitigating Interactive Performance Degradation from Mobile Device Thermal Throttling. University of Southampton doi:10.5258/SOTON/D1351 [Dataset]

Bantock, James, Robert Benjamin, Al-Hashimi, Bashir and Merrett, Geoff (2020) Mitigating interactive performance degradation from mobile device thermal throttling. IEEE Embedded Systems Letters. (In Press)

Balsamo, Domenico, Cetinkaya, Oktay, Rodriguez Arreola, Alberto, Wong, Samuel Chang Bing, Merrett, Geoff and Weddell, Alexander (2020) A control flow for transiently-powered energy harvesting sensor systems. IEEE Sensors Journal, 20 (18), 10687-10695, [9088951]. (doi:10.1109/JSEN.2020.2993213).

Wong, Samuel Chang Bing, Merrett, Geoff, Weddell, Alexander, Cetinkaya, Oktay, Balsamo, Domenico and Rodriguez Arreola, Alberto (2020) Dataset for: A Control Flow for Transiently-Powered Energy Harvesting Sensor Systems. University of Southampton doi:10.5258/SOTON/D1364 [Dataset]

Daulby, Timothy (2020) Dataset supporting the article "Improving the Forward Progress of Transient Systems". University of Southampton doi:10.5258/SOTON/D1394 [Dataset]

Daulby, Timothy, Savanth, Anand, Merrett, Geoff and Weddell, Alex S. (2020) Improving the forward progress of transient systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. (doi:10.1109/TCAD.2020.2999913).

Merrett, Geoff (2020) Energy-driven systems and compute: Towards self-powered embedded computing systems. International Green and Sustainable Computing Conference, , Virtual. 19 - 22 Oct 2020.

Ashraf Mamun, Sayed, Gilday, Alexander, Singh, Amit Kumar, Ganguly, Amlan, Merrett, Geoff, Wang, Xiaohang and Al-Hashimi, Bashir (2020) Intra- and inter-server smart task scheduling for profit and energy optimization of HPC data centers. Journal of Low Power Electronics and Applications, 10 (4), [32]. (doi:10.3390/jlpea10040032).

Daulby, Timothy, Weddell, Alexander, Merrett, Geoff and Savanth, Anand (2020) Comparing NVM technologies through the lens of Intermittent computation. In ENSsys 2020 - Proceedings of the 8th International Workshop on Energy Harvesting and Energy-Neutral Sensing Systems. ACM Press. 77–78 . (doi:10.1145/3417308.3430268).

Zhan, Jie, Weddell, Alexander and Merrett, Geoff (2020) Adaptive energy budgeting for atomic operations in intermittently-powered systems. In ENSsys '20: Proceedings of the 8th International Workshop on Energy Harvesting and Energy-Neutral Sensing Systems. ACM Press. pp. 82-83 . (doi:10.1145/3417308.3430277).

Wong, Samuel Chang Bing, Sliper, Sivert T., Wang, William, Weddell, Alexander, Gauthier, Stephanie and Merrett, Geoff (2020) Energy-aware HW/SW co-modeling of batteryless wireless sensor nodes. In ENSsys 2020 - Proceedings of the 8th International Workshop on Energy Harvesting and Energy-Neutral Sensing Systems. ACM Press. pp. 57-63 . (doi:10.1145/3417308.3430272).

Ortega Alban, Andre Paola, Ramchurn, Sarvapali, Tran-Thanh, Long and Merrett, Geoffrey (2020) Dataset for: Partner selection in self-organised wireless sensor networks for opportunistic energy negotiation: A multi-armed bandit based approach. University of Southampton doi:10.5258/SOTON/D1659 [Dataset]

Wong, Samuel Chang Bing, Gauthier, Stephanie and Merrett, Geoff (2020) Energy-driven occupant behaviour sensing. 6th International Symposium on Occupant Behaviour Research with Digital Technologies & 5th Expert Meeting of Annex 79. 23 - 25 Sep 2020.

Longman, Edward, Cetinkaya, Oktay, El-Hajjar, Mohammed and Merrett, Geoff (2021) Wake-up radio-enabled intermittently-powered devices for mesh networking: A power analysis. IEEE Consumer Communications and Networking Conference, , Virtual Conference. 09 - 12 Jan 2021. (doi:10.1109/CCNC49032.2021.9369557).

Ortega, Andre P., Ramchurn, Sarvapali, Tran-Thanh, Long and Merrett, Geoff (2021) Partner selection in self-organised wireless sensor networks for opportunistic energy negotiation: A multi-armed bandit based approach. Ad Hoc Networks, 112, [102354]. (doi:10.1016/j.adhoc.2020.102354).

Sadiq, Sulaiman, Maji, Partha, Hare, Jonathon and Merrett, Geoff (2020) DEff-ARTS: differentiable efficient ARchiTecture search. NeurIPS 2020 Workshop on ML for Systems, , Vancouver, Canada.

Zhan, Jie (2021) Dataset for "Exploring the Effect of Energy Storage Sizing on Intermittent Computing System Performance". University of Southampton doi:10.5258/SOTON/D1785 [Dataset]

Zhan, Jie, Merrett, Geoff and Weddell, Alexander (2021) Exploring the effect of energy storage sizing on intermittent computing system performance. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. (In Press)

Xun, Lei (2021) Dataset for "Dynamic-OFA: Runtime DNN Architecture Switching for Performance Scaling on Heterogeneous Embedded Platforms". University of Southampton doi:10.5258/SOTON/D1804 [Dataset]

Lou, Wei, Xun, Lei, Sabetsarvestani, Mohammadamin, Bi, Jia, Hare, Jonathon and Merrett, Geoff (2021) Dynamic-OFA: Runtime DNN architecture switching for performance scaling on heterogeneous embedded platforms. In IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR) Workshops 2021. pp. 3104-3112 .

Xun, Lei, Al-Hashimi, Bashir, Hare, Jonathon and Merrett, Geoff (2021) Runtime DNN performance scaling through resource management on heterogeneous embedded platforms. tinyML EMEA Technical Forum 2021. 07 - 10 Jun 2021.

Parry, Hishan (2021) Dataset for "Dynamic Transformer for Efficient Machine Translation on Embedded Devices". University of Southampton doi:10.5258/SOTON/D1908 [Dataset]

Parry, Hishan, Xun, Lei, Sabetsarvestani, Mohammadamin, Bi, Jia, Hare, Jonathon and Merrett, Geoff (2021) Dynamic transformer for efficient machine translation on embedded devices. In 3rd ACM/IEEE Workshop on Machine Learning for CAD (MLCAD 2021). 6 pp .

Sahoo, Siva Satyendra, Kumar, Akash, Decky, Martin, Wong, Samuel Chang Bing, Merrett, Geoff, Zhao, Yinyuan, Wang, Xiaohang and Singh, Amit Kumar (2021) Emergent design challenges for embedded systems and paths forward: Mixed-criticality, energy, reliability and security perspectives: Special Session Paper. The International Conference on Hardware/Software Codesign and System Synthesis 2021, Virtual. 10 - 13 Oct 2021. 10 pp . (In Press)

Longman, Edward, El-Hajjar, Mohammed and Merrett, Geoff (2021) Intermittent opportunistic routing components for the INET framework. In 8th OMNeT++ Community Summit 2021. 5 pp .

Wong, Samuel Chang Bing (2020) Dataset for: Energy-aware HW/SW Co-modeling of Batteryless Wireless Sensor Nodes. University of Southampton doi:10.5258/SOTON/D1593 [Dataset]

Bi, Jia (2021) Dataset for "GhostShiftAddNet: More Features from Energy-Efficient Operations". University of Southampton doi:10.5258/SOTON/D2007 [Dataset]

Papandroulidakis, Georgios (2021) Dataset for PhD Thesis "Experimental Demonstration of RRAM-based Computational Cells for Reconfigurable Mixed-Signal Neuro-Inspired Circuits and Systems". University of Southampton doi:10.5258/SOTON/D2031 [Dataset]

Ivanescu, Teodor, El-Hajjar, Mohammed and Merrett, Geoffrey (2021) Dataset for 'ANTS'21 W5 AIMLECV - Route Lifetime Analysis in Vehicular Networks'. University of Southampton doi:10.5258/SOTON/D2039 [Dataset]

Sabetsarvestani, Mohammadamin, Hare, Jonathon and Merrett, Geoffrey (2021) Data for Similarity-aware CNN for Efficient Video Recognition at the Edge. University of Southampton doi:10.5258/SOTON/D2067 [Dataset]

Ivanescu, Teodor, El-Hajjar, Mohammed, Merrett, Geoff and Yetgin, Halil (2021) Route lifetime analysis for vehicular networks. 2021 IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS): W5 :AI/Machine Learning Enabled Connected Vehicles, , Hyderabad, India. 6 pp . (In Press)

Longman, Edward, El-Hajjar, Mohammed, Cetinkaya, Oktay and Merrett, Geoff (2022) Mesh networking for intermittently-powered devices: Architecture and challenges. IEEE Network. (doi:10.1109/MNET.105.2000782).

Sabetsarvestani, Mohammadamin, Hare, Jonathon, Al-Hashimi, Bashir and Merrett, Geoff (2021) Similarity-aware CNN for efficient video recognition at the Edge. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. (doi:10.1109/TCAD.2021.3136815).

Safarpour, Mehdi, Xun, Lei, Merrett, Geoff and Silven, Olli (2021) A high-level approach for energy efficiency improvement of FPGAs by voltage trimming. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. (doi:10.1109/TCAD.2021.3127153).

Bi, Jia, Hare, Jonathon and Merrett, Geoff (2021) GhostShiftAddNet: More Features from Energy-Efficient Operations. In The 32nd British Machine Vision Conference 2021.

Isuwa, Samuel (2022) Dataset for QUAREM: Maximising QoE through Adaptive Resource Management in Mobile MPSoC Platforms. University of Southampton doi:10.5258/SOTON/D2153 [Dataset]

Isuwa, Samuel, Dey, Somdip, Ortega, Andre P., Singh, Amit Kumar, Al-Hashimi, Bashir M. and Merrett, Geoff (2022) QUAREM: Maximising QoE through Adaptive Resource Management in Mobile MPSoC Platforms. ACM Transactions on Embedded Computing Systems. (In Press)

Sliper, Sivert Tvedt (2022) Dataset supporting the journal article "Pragmatic Memory-System Support for Intermittent Computing using Emerging Non-Volatile Memory". University of Southampton doi:10.5258/SOTON/D2186 [Dataset]

Sadiq, Sulaiman, Hare, Jonathon, Maji, Partha, Craske, Simon and Merrett, Geoff (2022) TinyOps: ImageNet Scale Deep Learning on Microcontrollers. IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW 2022). (In Press)

Sliper, Sivert T., Wang, William, Nikoleris, Nikos, Weddell, Alexander, Savanth, Anand, Prabhat, Pranay and Merrett, Geoff (2022) Pragmatic memory-system support for intermittent computing using emerging non-volatile memory. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. (doi:10.1109/TCAD.2022.3168263).

Xun, Lei, Al-Hashimi, Bashir, Hare, Jonathon and Merrett, Geoff (2022) Dynamic DNNs meet runtime resource management on mobile and embedded platforms. UK Mobile, Wearable and Ubiquitous Systems Research Symposium 2022, UCL, London, United Kingdom. 04 - 05 Jul 2022. (In Press)

Zhan, Jie (2022) Dataset for PhD Thesis "Energy Budgeting for Intermittently-Powered Systems". University of Southampton doi:10.5258/SOTON/D2273 [Dataset]

Contact

Share this profile FacebookTwitterWeibo

Publications

Radetzki, U., Boniface, M.J. and Walland, Paul. W. (2007) B2B Infrastructures in the Process of Drug Discovery and Healthcare. HealthGRID Software Demonstration 2007. (Submitted)

Chakravarthy, Ajay, Beales, Richard and Walland, Paul (2009) ANSWER: A Semantic Approach to Film Direction. International Conference on Internet and Web Applications and Services.

Beales, Richard, Taylor, Stephen and Walland, Paul (2011) SNS-based eParticipation and cloud computing - a consideration of the issues raised. ePart 2011, Delft, Netherlands. 28 - 31 Aug 2011.

Wandhofer, Timo, Taylor, Steve, Alani, Harith, Joshi, Somya, Sizov, Sergej, Walland, Paul, Thamm, M., Bleier, A. and Mutschke, P. (2012) Engaging politicians with citizens on social networking sites: the WeGov Toolbox. International Journal of Electronic Government Research, 8 (3), 22-43. (doi:10.4018/jegr.2012070102).

Wandhofer, Timo, Taylor, Steve, Walland, Paul, Geana, Ruxandra, Weichselbaum, Robert, Fernandex, Miriam and Sizov, Sergej (2012) Determining citizens’ opinions about stories in the news media. eJournal of eDemocracy and Open Government, 4 (2), 198-221.

Nasser, Bassem, Engen, Vegard, Crowle, Simon and Walland, Paul (2013) A novel risk-based approach for online community management. ICIW 2013, The Eighth International Conference on Internet and Web Applications and Services. 22 - 27 Jun 2013. pp. 25-30 .

Nasser, B.I., Engen, Vegard and Walland, Paul (2013) Next generation community management: A proactive risk-based approach. IEEE Special Technical Community on Social Networking Newsletter, 1 (2).

Engen, Vegard, Nasser, Bassem and Walland, Paul (2013) A framework for proactive risk management of online communities. The 26th European Conference on Operational Research, Rome, Italy. 30 Jun - 03 Jul 2013. 24 pp .

Engen, Vegard, Veres, Galina, Crowle, Simon, Bashevoy, Maxim, Walland, Paul and Hall-May, Martin (2015) A Semantic Risk Management Framework for Digital Audio-Visual Media Preservation. The Tenth International Conference on Internet and Web Applications and Services (ICIW), June 21 - 26, 2015, Brussels, Belgium, Brussels, Belgium.

Houpert, Jorg, Melas, Panagiotis, Bailer, Werner and Walland, Paul (2015) DAVID: D3.5 - Recommendations and techniques for content in a "born robust" form Southampton, GB. Cube-Tec; University of Southampton; JRS 33pp. (doi:10.7800.304DAVID35).

Phillips, Stephen, Walland, Paul, Modafferi, Stefano, Spagnuolo, Michela, Catalano, Chiara Eva, Oldman, Dominic, Tal, Ayellet, Shimshoni, Illan and Hermon, Sorin (2016) GRAVITATE: Geometric and semantic matching for cultural heritage artefacts. In GCH '16 Proceedings of the 14th Eurographics Workshop on Graphics and Cultural Heritage. ACM Press. pp. 199-202 . (doi:10.2312/gch.20161407).

Pickering, John, Engen, Vegard and Walland, Paul (2017) The Interplay between human and machine agency. Kurosu, M (ed.) In Human-Computer Interaction. User Interface Design, Development and Multimodality: HCI 2017. vol. 10271, Springer, Cham. pp. 47-59 . (doi:10.1007/978-3-319-58071-5_4).

Pickering, Brian, Yasseri, Taha, Engen, Vegard, Walland, Paul and Luders, Marika , Tsvetkova, Milena (ed.) (2016) HUMANE D1.1 Systematic Literature Review HUMANE Consortium 81pp.

Engen, Vegard, Veres, Galina, Crowle, Simon, Walland, Paul and Bauer, Christoph (2016) Business process risk management and simulation modelling for digital audio-visual media preservation. International Journal On Advances in Internet Technology, 9 (1 & 2), 12-30.

Walland, Paul and Pickering, Brian (2017) Mediated behavioural change in human-machine networks: Exploring network characteristics, trust and motivation. In SocInfo 2017: Social Informatics. vol. 10540, Springer International Publishing AG. pp. 491-500 . (doi:10.1007/978-3-319-67256-4_39).

Walland, Paul and Pickering, Brian (2017) Roadmap for human-machine networks for Citizen Participation Springer-Verlag

Eide, Aslak Wegner, Pickering, Brian, Yasseri, Taha, Bravos, George, Folstad, Asbjorn, Engen, Vegard, Tsvetkova, Milena, Meyer, Eric, Walland, Paul and Luders, Marika (2016) Human-Machine networks: towards a typology and profiling framework. In Human-Machine Networks: Towards a Typology and Profiling Framework. Springer-Verlag..

Engen, Vegard, Pickering, Brian and Walland, Paul (2016) Machine agency in Human-Machine networks; impacts and trust implications. In Human-Computer Interaction. Novel User Experiences: 18th International Conference, HCI International 2016, Toronto, ON, Canada, July 17-22, 2016. Proceedings, Part III. vol. 9733, Springer-Verlag. pp. 96-106 . (doi:10.1007/978-3-319-39513-5_9).

Jaho, Eva, Klitsi, Marina, Sarris, Nikos, Folstad, Asbjorn, Lech, Till Christopher, Haugstveit, Ida Maria, Skjuve, Marita, Walland, Paul, Pickering, Brian and Meyer, Eric (2017) HUMANE D4.4 - Final roadmap of future human-machine networks ATC

Jaho, Eva, Klitsi, Marina, Sarris, Nikos, Folstad, Asbjorn, Lech, Till Christopher, Walland, Paul, Pickering, Brian and Meyer, Eric (2017) HUMANE D4.2 Roadmap of future human-machine networks ATC

Jaho, Eva, Meyer, Eric, Pickering, Brian, Walland, Paul, Lech, Till Christopher, Folstad, Asbjorn and Sarris, Nikos (2016) HUMANE D4.1 Report on implications of future thinking ATC

Folstad, Asbjorn, Engen, Vegard, Mulligan, William, Pickering, Brian, Pultier, Antoine, Yasseri, Taha and Walland, Paul (2017) HUMANE D2.3 - The HUMANE typology and method SINTEF

Folstad, Asbjorn, Engen, Vegard, Yasseri, Taha, Garcia Gavilanes, Ruth, Walland, Paul, Tsvetkova, Milena, Jaho, Eva, Pickering, Brian and Pultier, Antoine (2016) HUMANE D2.2 Typology and method v2 SINTEF

Tsvetkova, Milena, Yasseri, Taha, Meyer, Eric, Pickering, Brian, Engen, Vegard, Walland, Paul, Luders, Marika, Folstad, Asbjorn and Bravos, George (2017) Understanding human-machine networks: A cross-disciplinary survey. ACM Computing Surveys, 50 (1). (doi:10.1145/3039868).

Wandhoefer, Timo, Taylor, Steve, Fernandez, Miriam, Allen, Beccy, Alani, Harith, Joshi, Somya, Walland, Paul W., Sizov, Sergej, van Eeckhaute, Catherine, Thamm, Mark, Bleier, Arnim, Mutschke, Peter and Koulolias, Vasilis (2013) Supporting policy-makers with social media analysis tools to get aware of citizens’ opinions. In, Mahmood, Zaigham (ed.) IT in the Public Sphere: Applications in Administration, Government, Politics, and Planning: Applications in Administration, Government, Politics, and Planning. Hershey. IGI Global, 121–156. (doi:10.4018/978-1-4666-4719-0.ch007).

Taylor, Steve, Wandhoefer, Timo, Allen, Beccy, Walland, Paul and Sizov, Sergej (2013) Online forums vs. social networks: two case studies to support eGovernment with topic opinion analysis. International Conference on Electronic Government, Koblenz, Germany. 16 - 19 Sep 2013. pp. 322-334 . (doi:10.1007%2F978-3-642-40358-3_27).

Contact

Share this profile FacebookTwitterWeibo

 

Manuel is a Lecturer of Computer Science at the University of Southampton, Head of Learning of Southampton Data Science Academy, and the Faculty of Phisical and Applied Sciences champion for Online Learning. Manuel is also PI for Southampton in two Erasmus+ projects, namely DEDALUS, focussed on Data Literacy, and BRIDGES, focussed on academics' digital competencies for online learning.

Publications

Davis, Hugh C., Dickens, Kate, Leon Urrutia, Manuel, Sanchz Vera, Maria del Mar and White, Su (2014) MOOCs for Universities and Learners An analysis of motivating factors. 6th International Conference on Computer Supported Education. 31 Mar - 02 Apr 2014.

White, Su, Davis, Hugh, Dickens, K.P., Leon Urrutia, Manuel and Sanchez Vera, Ma Mar (2015) MOOCs: What motivates the producers and participants? Zvacek, S, Restivo, M, Uhomoibhi, J and Helfert, M (eds.) In Computer Supported Education: CSEDU 2014. Springer. pp. 99-114 . (doi:10.1007/978-3-319-25768-6_7).

Leon, Manuel, White, Steve and White, Su (2016) MOOCs in Higher Education magazines: A content analysis of internal stakeholder perspectives. Zvacek, Susan, Restivo, Maria Teresa, Uhomoibhi, James and Helfert, Markus (eds.) In Computer Supported Education. Springer. pp. 395-405 . (doi:10.1007/978-3-319-29585-5_23).

Leon Urrutia, Manuel, White, Stephen, Dickens, Kate and White, Susan (2015) Mentoring at scale: MOOC mentor interventions towards a connected learning community. EMOOCs 2015 European MOOC Stakeholders Summit, Mons, Belgium. 17 - 19 May 2015. 4 pp .

Snchez-Vera, Maria del Mar, Leon Urrutia, Manuel and Davis, Hugh C. (2015) Challenges in the creation, development and implementation of MOOCs: Web Science course at the University of Southampton. Comunicar, 22 (44), 37-43. (doi:10.3916/C44-2015-04).

Beeston, Gareth, Leon Urrutia, Manuel, Halcrow, Caroline, Liu, Lu, Wang, Jinchuan, Kim, Jinho Jay and Park, Kunwooaa (2014) Humour reactions in crisis: a proximal analysis of Chinese posts on Sina Weibo in reaction to the salt panicof March 2011. 23rd International Conference on World Wide Web Web - Observatory Workshop: Building Web Observatories, , Seoul, Korea, Republic of. 07 - 11 Apr 2014. pp. 1043-1048 . (doi:10.1145/2567948.2579209).

Leon Urrutia, Manuel and Dickens, Kate (2014) Preparing to ride giant waves: developing procedural decision-making processes to support massive online courses. Association of Learning Technologies Annual Conference 2014: Riding Giants, Warwick, United Kingdom. 31 Aug - 02 Sep 2014.

Leon Urrutia, Manuel, Yousef, Ahmad Mohammed Fahmy and White, Su (2015) Learning from MOOCs: the role of mentor qualities. Web Science Education Workshop @ Web Science Conference 2015, Oxford, United Kingdom. 2 pp .

White, Steven, Leon Urrutia, Manuel, Borthwick, Kate and White, Su (2015) Massive Open Online Course mentoring for a connected community of practice of Language teachers. eLearning Papers, 45, 43-48.

Urrutia, M. and White, Steven (2015) Emergent roles of online mentors in MOOCs. Joint European Summer School on Technology Enhanced Learning, Ischia, Italy.

Leon Urrutia, Manuel, Cobos, Ruth, Dickens, Kate, White, Su and Davis, Hugh (2016) Visualising the MOOC experience: a dynamic MOOC dashboard built through institutional collaboration. EMOOCs 2016, , Graz, Austria. 22 - 24 Feb 2016. pp. 1-8 .

Leon Urrutia, Manuel, Wilde, Adriana, White, Su, Earl, Graeme and Harris, Lisa (2016) Cross-institutional MOOC data analysis and visualisation: a call for collaboration. FutureLearn Academic Network, , Glasgow, United Kingdom. 06 Jun 2016. 27 pp .

Wilde, Adriana, Ballesteros Mesa, Miguel and Leon Urrutia, Manuel (2016) Hacia un marco de anlisis del aprendizaje en cursos en lnea Masivos y Abiertos: informando al proveedor. In, Roig-Vila, Rosabel (ed.) EDUcacin y TECnologa. Propuestas desde la investigacin y la innovacin educativa. Barcelona, ES. Octaedro, pp. 276-277.

Leon Urrutia, Manuel, Fielding, Sarah and White, Su (2016) Professional development through MOOCs in higher education institutions: challenges and opportunities for Phd students working as mentors. Journal of Interactive Media in Education, 1, 1-11. (doi:10.5334/jime.427).

Wilde, Adriana, Leon Urrutia, Manuel and White, Su (2016) Tracking collective learner footprints: aggregate analysis of MOOC learner demographics and activity. Gmez Chova, L, Lpez Martnez, A and Candel Torres, I (eds.) In ICERI2016 Proceedings (browse). IATED Academy. pp. 1404-1413 . (doi:10.21125/iceri.2016.1319).

Wilde, Adriana, Leon Urrutia, Manuel and Borthwick, Kate (2017) Understanding language: understanding MOOC learners. Rosell-Aguilar, Fernando, Beaven, Tita and Fuertes-Gutierrez, Mara (eds.) In Proceedings of the 7th Annual Conference in the Innovative Language Teaching and Learning at University.

Fair, Nicholas, Sebastian Russell, Harris, Lisa and Leon Urrutia, Manuel (2017) Enhancing the student experience: integrating MOOCs into campus based modules. ICEM 2017: International Council for Education and Media, University Federico II, Naples, Italy. 20 - 22 Sep 2017. 26 pp .

Len-Urrutia, Manuel, Cobos, Ruth and Dickens, Kate (2018) MOOCs and their influence on higher education institutions: Perspectives from the insiders. Journal of New Approaches in Educational Research, 7 (1), 40-45. (doi:10.7821/naer.2018.1.252).

Eradze, Maka, Len Urrutia, Manuel, Reda, Valentina and Kerr, Ruth (2019) Blended learning with MOOCs: From investment effort to success: a systematic literature review on empirical evidence. Delgado Kloos, Carlos, Wirsing, Martin, Calise, Mauro, Reich, Justin and Ruiperez-Valiente, Jose A. (eds.) In Digital Education: At the MOOC Crossroads Where the Interests of Academia and Business Converge - 6th European MOOCs Stakeholders Summit, EMOOCs 2019, Proceedings. vol. 11475 LNCS, Springer-Verlag. pp. 53-58 . (doi:10.1007/978-3-030-19875-6_7).

Vzquez Cano, Esteban, Leon Urrutia, Manuel, Parra Gonzlez, Mara Elena and Lpez Meneses, Eloy (2020) Analysis of interpersonal competences in the use of ICT in the Spanish university context. Sustainability, 12 (2), 1-12, [476]. (doi:10.3390/su12020476).

Leon Urrutia, Manuel (2019) MOOCs and competencies for Higher Education transformation: An activity theory analysis. University of Southampton, Doctoral Thesis, 312pp.

Len-Urrutia, Manuel and Wilde, Adriana (2017) Development of a MOOC dashboard. 11th UK Learning Analytics Network meeting, Aston University, Birmingham, United Kingdom. 05 Sep 2017.

Leon Urrutia, Manuel and Fair, Nicholas (2020) Enhancing the Student Experience:: integrating MOOCs into campus-based modules. In, Trends and good practices in research and teaching. Octaedro. (doi:10.36006/16184-08).

Share this profile FacebookTwitterWeibo

Research

Research interests

Due to continuing problems with the central profile system, please refer to his homepage at http://www.hpcc.ecs.soton.ac.uk/dan/

Teaching

Due to continuing problems with the central profile system, please refer to his homepage at http://www.hpcc.ecs.soton.ac.uk/dan/

Publications

Paventhan, A., Takeda, K., Cox, S.J. and Nicole, D.A. (2006) Workflows for wind tunnel grid applications. In Computational Science: ICCS 2006. vol. 3993/2006, Springer. pp. 928-935 . (doi:10.1007/11758532).

Paventhan, A., Takeda, K., Cox, S.J. and Nicole, D.A. (1970) Leveraging windows workflow foundation for scientific workflows in wind tunnel applications. In Proceedings of the 22nd International Conference on Data Engineering Workshops (ICDEW'06). Institute of Electrical and Electronics Engineers: Computer Society. pp. 65-75 . (doi:10.1109/ICDEW.2006.71).

Paventhan, A., Takeda, K., Cox, S.J. and Nicole, D.A. (2007) Federated database services for wind tunnel experiment workflows. Scientific Programming, 14 (3-4), 173-184.

Paventhan, A., Takeda, Kenji, Cox, Simon J. and Nicole, Denis A. (2006) MyCoG.NET: a multi-language CoG toolkit. Concurrency and Computation: Practice & Experience, 19 (14), 1885-1900. (doi:10.1002/cpe.1133).

Takeda, Kenji, Allsopp, Nicholas K., Hardwick, Johnathan C., Macey, P.C., Nicole, Denis A., Cox, Simon J. and Lancaster, David J. (1999) An assessment of MPI environments for windows NT. In Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA'99). CSREA Press. pp. 1898-1904 .

Papiani, Mark, Wason, Jasmin L, Dunlop, Alistair N and Nicole, Denis A (1999) A Distributed Scientific Data Archive Using the Web, XML and SQL/MED. SIGMOD Record, 28 (3), 56-62.

Manjunathaiah, M. and Nicole, Denis A (1997) Precise analysis of array usage in scientific programs. Scientific Programming, 6, 229-42.

Cox, S.J., Daniell, G.J. and Nicole, D.A. (1998) Using Maximum Entropy to Double One's Expected Winnings in the UK National Lottery 1998. Journal of the Royal Statistical Society. Series D: The Statistician, 47, 629-41.

Nicole, Denis A and Sivaram, Rajini (1996) SCOTT: The Southampton COFF Tools for Transputers. WOTUG-19, Proceedings of the 19th World occam and transputer user group technical meeting. p. 191 .

Cox, S.J., Nicole, D.A. and Takeda, K. (1998) Commodity High Performance Computing at Commodity Prices. WOTUG-21, Proceedings of the 21st World occam and transputer user group technical meeting. pp. 19-26 .

Takeda, K., Wolton, I.C. and Nicole, D.A. (1998) Software Probability and Maintenance. HIGH-PERFORMANCE COMPUTING. pp. 119-125 .

Nicole, D.A. and Wolton, I.C. (1998) HPC on DEC Alphas and Windows NT. HIGH-PERFORMANCE COMPUTING. pp. 551-557 .

Nicole, D.A., Wolton, I.C. and Takeda, K. (1998) Southampton High Performance Computing Centre. HIGH-PERFORMANCE COMPUTING. pp. 33-41 .

Cox, S.J., Daniell, G.J. and Nicole, D.A. (1998) Maximum Entropy, Parallel Computations and Lotteries. Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications 1998 (PDPTA 1998). pp. 1252-8 .

Caton, M.J, Cox, S.J., Blott, BH, Daniell, G.J. and Nicole, D.A. (1999) Prospects for high fidelity imaging in nonlinear EIT using high performance computing. Holder, D. (ed.) pp. 1-6 .

Papiani, Mark, Wason, Jasmin L. and Nicole, Denis A. , Zaniolo, Carlo, Lockemann, Peter C., Scholl, Marc H. and Grust, TorstenTorsten Grust (eds.) (2000) An Architecture for Management of Large, Distributed, Scientific Data Using SQL/MED and XML. Lecture Notes in Computer Science, 1777, 447-461.

Hey, A J G, Jesshope, C R and Nicole, D A (1986) High Performance Simulation of Lattice Physics on Transputer Arrays , North-Holland,

Pritchard, D J, Askew, C R, Carpenter, D B, Glendinning, I, Hey, A J G and Nicole, D A (1987) Practical Parallelism Using Transputer Arrays at Parallel Architectures and Languages. conference; 1987-06-01.

Nicole, D A , Fountain, T J and Shute, M J (eds.) (1990) Reconfigurable Transputer Processor Architectures in Microprocessor Computer Architectures , Elsevier, Amsterdam

Nicole, D A , Jane, M R, Fawcett, R J and Mawby, T P (eds.) (1992) Standard Software on Scalable Computers in Transputer Applications Progress and Prospects , IOS Press

Nicole, D A, Barnaby, C and May, M D (1993) General Purpose Parallel Computers in Networks, Routers and Transputers. Jane, M R, Fawcett, R J and Mawby, T P (eds.)

Nicole, D.A. , Cosnard, M. and Puigjaner, R. (eds.) (1993) High performance architecture issues in IFIP transactions A-39, decentralized and distributed systems , Elsevier Science

Nicole, D.A. , Kessler, C.W. (ed.) (1994) Targeting transputer systems, past and future in automatic parallelisation , Weisbaden. Vieweg

Barnes, K J, Ketley, I J, Nicole, D A and O'Donnell, P J (1977) Nonlinear Chiral Models and Many-Dimensional Solutions. Physical Review D.

Nicole, D A (1977) Soliton Solutions to Chiral SU(3)xSU(3) Models. Author's Original.

Nicole, D.A. (1978) Transverse pure gauge fields and nonlinear chiral solitons , s.n.

Nicole, D A (1978) Solitons with Non-Varnishing Hopf Index , s.n.

Gunther, N J, Nicole, D A and Wallace, D J (1980) Goldstone modes in vacuum decay and first-order phase transitions. Journal of Physics A: Mathematical and General, 13, 1755-1767.

Jasnow, D, Nicole, D A and Ohta, T (1981) Unstable Modes of a Quenched Fluid Interface. Physical Review A.

Carlitz, R D and Nicole, D A (1982) A Physical Model of the Instanton Gas. Physics Letters B.

Carlitz, R D and Nicole, D A (1984) The structure of the multi-instanton gas. Nuclear Physics B, 243 (2). (doi:10.1016/0550-3213(84)90031-2).

Auerbach, A, Kivelson, S and Nicole, D A (1984) Path Decomposition for Multidimensional Tunnelling. Physical Review Letters, 53 (5), 411-414.

Carlitz, R D and Nicole, D A (1985) Classical Paths and Quantum Mechanics. Annals of Physics.

Nicole, D A and Walters, P J (1988) Classical Paths for the Periodic Potential. Journal of Physics A: Mathematical and General, 21 (10). (doi:10.1088/0305-4470/21/10/014).

Nicole, D A, Ward, J S and Lloyd, E K (1990) Transputer Link Reconfiguration: Switching Networks for 4-Valent Graphs. IEE Proceedings, Part E, 137 (4). (doi:10.1049/ip-e.1990.0029).

Pritchard, D J and Nicole, D A (1993) Cube connected Mobius ladders: an inherently deadlock-free fixed degree network. IEEE Transactions on Parallel and Distributed Systems, 4 (1), 111-117. (doi:10.1109/71.205658).

Debbage, M, Hill, M B, Nicole, D A and Sturgess, A (1993) The Virtual Channel Router. Author's Original.

Wykes, S M, Jnr, O Trindade and Nicole, D A (1993) A DS-Link Interface for T9000 System Development. Author's Original.

Debbage, M, Hill, M B and Nicole, D A (1995) An interface to a reliable packet delivery service for parallel systems. IEEE Transactions on Parallel and Distributed Systems, 6 (4), 400-411. (doi:10.1109/71.372793).

Manjunathaiah, M and Nicole, D A (1970) Precise Analysis of Array Usage in Scientific Programs. Scientific Programming.

Nicole, D A (1988) Esprit Project 1085 Reconfigurable Transputer Processor Architecture.

Nicole, D A, Lloyd, E K and Ward, J S (1988) Switching Networks for Transputer Links.

Lloyd, E.K. and Nicole, D.A. (1988) Eulerian cycles and switching networks For transputer links.

Nicole, D A (1989) Reconfigurable Transputer Processor Architectures.

Debbage, M, Hill, M and Nicole, D A (1990) Towards a Distributed Implementation of Occam.

Nicole, D A (1991) Commonality in Architectures.

Debbage, M, Hill, M and Nicole, D A (1991) A General Purpose Parallel Programming Environment.

Barrett, G, Barton, E, Carden, T, Duval, D and Nicole, D (1992) General Purpose Parallel Computers: A Standard Architecture with a Standard Programming Interface.

Debbage, M, Hill, M, Nicole, D A and Wykes, S M (1994) Southampton's Portable Occam Compiler (SPOC).

Manjunathaiah, M and Nicole, D A (1994) Advanced Parallel Usage Analysis in the Proceedings of the First International Workshop on Parallel Processing, Bangalore.

Nicole, D A (1984) Transputers and Occam at a SERC Meeting on future Computational Requirements, Abingdon.

Nicole, D.A. (1986) Languages and Software Engineering at an Institute of Physics Meeting on Computing in High Energy Physics, Southampton.

Nicole, D A (1988) Occam and Transputer Tutorial at a Conference on Economical Parallel Processing, Berne, Switzerland, May 1988.

Nicole, D.A. (1988) P1085 Hardware at a Workshop on Future Parallel Computers, Eindhoven, Netherlands, June 1988.

Nicole, D A (1988) Transputers and Security at the 5th National Computer Security Conference, London, October 1988.

Nicole, D A (1988) The Esprit Reconfigurable Transputer Processor: A Range of General Purpose Machines built on a Communicating Process Architecture, at IEE International Specialist Seminar on the Design and Application of Parallel Digital Processors, Lisbon, Portugal, April 1988.

Nicole, D A (1989) Recent and Future MIMD Architectures, at the Inaugural Meeting of the Occam User Group of Latin America, Florianopolis, Brazil, September 1989.

Nicole, D A (1991) Evolution of Architectures and Programming Models, a the Parallel Processing Seminars, Stockholm, January 1991.

Nicole, D A (1991) Invited Lectures on Transputer-Based Architectures, at the Community of Mediterranean Universities Distance Learning Computer Science School Third Summer Session in Computer Architecture, Technopolis (Bari), Italy, July 1991.

Nicole, D A (1991) A General Purpose MIMD Computer, at the Computer Architecture Conference, Brindisi, Italy, October 1991.

Nicole, D A (1991) The Future of Transputing, at the Nordic Transputer Seminar, Trondheim, Norway, November 1991.

Nicole, D A (1993) Invited Lectures on Parallel Computing Architectures: The Evolving Consensus, at the Community of Mediterranean Universities Distance Learning Computer Science School, University of Leece, Italy, June 1993.

Nicole, D A (1993) High Performance Architectures, Invited Lecture at the BCS Parallel Processing Specialist Group Workshop on General Purpose Parallel Computing, London, December 1993.

Nicole, D.A. (1994) Limitations of electronics.

Nicole, D A (1972) Improved Digital Display, Radio and Electronics Constructor.

Nicole, Denis (1979) Solitons and instantons in elementary particle physics. University of Southampton, Electronics and Computer Science, Doctoral Thesis.

Nicole, D A and Moore, M P (1989) Operating Transputers at Low Temperatures, Occam User Group Newsletter.

Nicole, D.A. and Duval, D. (1992) GPMIMD: a standard industrial architecture for scalable parallel computing. Supercomputing Europe 1992, , Paris, France.

Nicole, D A and Pritchard, D J (1992) Load Balance Deadlock Free Routing of Arbitrary Networks, Submitted to IEEE Transactions on Parallel and Distributed Systems.

Nicole, D A and Bisiani, R (1992) State of the Art Report for High Performance Computing in the Report of the High Performance Computing and Networking Advisory Committee, Commission of the European Communities (1992).

Askew, C R, Carpenter, D B, Chalker, J T, Hey, A J G, Nicole, D A and Pritchard, D J (1986) Simulation of Statistical Mechanical Systems on Transputer Arrays.

Dunlop, A N, Hey, A J G, Nicole, D A and Pritchard, D J (1995) Performance Estimation for Parallel Performance Optimisation. Supercomputing, 11, 19-31.

Hey, A J G, Jesshope, C R and Nicole, D A (1985) High Performance Simulation of Lattice Physics using Enhanced Transputer Arrays. Hertzberger, L O and Hoogland, W (eds.) International Conference on Computing in High Energy Physics, Amsterdam.

Dunlop, A, Hernandez, E, Naim, O, Hey, A J G and Nicole, D (1995) A Toolkit for Optimizing Parallel Performance. Proceedings of HPCN Europe '95: published in Lecture Notes in Computer Science. p. 548 .

Takeda, K, Allsopp, N K, Hardwick, J C, Macey, P C, Caton, M J, Nicole, D A, Cox, S J and Lancaster, D J (1999) Parallel Computing on Windows NT Clusters. 3rd USENIX Windows NT Symposium, Seattle, Washington. 11 - 12 Jul 1999.

Takeda, K., Allsopp, N. K., Hardwick, J.C., Macey, P.C., Nicole, D.A., Cox, S.J. and Lancaster, D.J. (2001) An Assessment of MPI Environments for Windows NT. The Journal of Supercomputing, 19 (3), 315-323. (doi:10.1023/A:1011138816820).

Snelling, David, van den Berghe, Sven, von Laszewski, Gregor, Wieder, Philipp, Breuer, Dirk, MacLaren, Jon, Nicole, Denis and Hoppe, Hans-Christian , LaForenza, Domenico (ed.) (2002) A Unicore Globus Interoperability Layer. Computing and Informatics, 21 (4), 399-411.

Nicole, Denis, Ellis, Sam and Hancock, Simon (2003) occam for reliable embedded systems: lightweight runtimes and model checking. Broenink, Jan F. and Hilderink, Gerald H. (eds.) 26th WoTUG Technical Meeting, University of Twente, The, Netherlands. 06 - 09 Sep 2003. pp. 167-172 .

Watkins, E Rowland and Nicole, Denis A (2005) Version Control in Online Software Repositories. Arabnia, Hamid R, Reza, Hassan, Arabnia, Hamid R. and Reza, Hassan (eds.) In SERP'05 : proceedings of the 2005 International Conference on Software Engineering Research and Practice, June 27-29, 2005, Las Vegas, Nevada. vol. II, CSREA Press. pp. 550-556 .

Watkins, E Rowland and Nicole, Denis A (2005) Version Control in Online Software Repositories. ACM TechNews, 7 (872).

Nicole, Denis A. (2005) UNICORE and GRIP: experiences of grid middleware development. Arabnia, Hamid R. and Ni, Jun (eds.) 2005 International Conference on Grid Computing and Applications, Las Vegas, United States. 19 - 22 Jun 2005. pp. 1-17 .

Watkins, E. Rowland and Nicole, Denis A. , Zhou, Xiaofang, Li, Jianzhong, Shen, Heng Tao, Kitsuregawa, Masaru and Zhang, Yanchun (eds.) (2006) Named Graphs as a Mechanism for Reasoning about Provenance. Lecture Notes in Computer Science, 3841, 943-948.

Paventhan, A, Takeda, Kenji, Cox, Simon J and Nicole, Denis A (2006) Leveraging Windows Workflow Foundation for Scientific Workflows in Wind Tunnel Applications. Barga, Roger S. and Zhou, Xiaofang (eds.) In Proceedings of the 22nd International Conference on Data Engineering Workshops (ICDEW'06). IEEE Computer Society. pp. 65-74 .

Paventhan, Arumugam, Takeda, Kenji, Cox, Simon J. and Nicole, Denis A. , Alexandrov, Vassil N., van Albada, G. Dick, Sloot, Peter M. A. and Dongarra, Jack J (eds.) (2006) Workflows for Wind Tunnel Grid Applications. Lecture Notes in Computer Science, 3993, 928-935. (doi:10.1007/11758532_124).

Nicole, Denis (2007) Stay unpopular-and get rich quick. The Guardian, 4-4.

Nicole, Denis and Panahi, Taban (2007) Successful Indian model in producing modern computers. Digital, Tehran, 30-30.

Fairman, M.J., Price, A.R., Xue, G., Molinari, M., Nicole, D.A., Lenton, T.M., Marsh, R., Takeda, K. and Cox, S.J. (2007) Building scientific workflows for Earth system modelling with Windows Workflow Foundation. Shi, Yong, van Abada, Geert Dick, Dongarra, Jack and Sloot, Peter M.A. (eds.) In Proceedings of the 7th International Computational Science Conference. vol. 4489, Springer. pp. 273-280 . (doi:10.1007/978-3-540-72588-6_46).

Nicole, Denis A , Mills, Hugo (ed.) (2007) New Schools in the East and west of Southampton. Viewpoint: A paper for independent comment, (456), 1-7.

Derouiche, Kheiredine and Nicole, Denis A , Meersman, Robert, Tari, Zahir and Herrero, Pilar (eds.) (2007) Semantically Resolving Type Mismatches in Scientific Workflows. Lecture Notes in Computer Science, 4805, 125-135.

Brezany, Peter, Winslett, Marianne, Nicole, Denis A. and Cortes, Toni , Sakellariou, Rizos, Keane, John, Gurd, John and Freeman, Len (eds.) (2001) Topic 18 Parallel I/O and Storage Technology. Lecture Notes in Computer Science, 2150, 887-887.

Fairman, Matthew J., Price, Andrew R., Xue, Gang, Molinari, Marc, Nicole, Denis A., Lenton, Timothy M., Marsh, Robert, Takeda, Kenji and Cox, Simon J. (2009) Earth system modelling with Windows Workflow Foundation. Future Generation Computer Systems, 25 (5), 586-597. (doi:10.1016/j.future.2008.06.011).

Nicole, Denis A (2008) Robots that don't suck. Higher Education Academy workshop on Robots in the Curriculum (Invited Presentation), Southampton.

Morse, Jeremy, Cordeiro, Lucas, Nicole, Denis and Fischer, Bernd (2011) Context-bounded model checking of LTL properties for ANSI-C software. In Software Engineering and Formal Methods. vol. 7041, Springer. pp. 302-317 . (doi:10.1007/978-3-642-24690-6_21).

Cordeiro, Lucas, Morse, Jeremy, Nicole, Denis and Fischer, Bernd (2012) Context-bounded model checking with ESBMC 1.17. In, Flanagan, Cormac and König, Barbara (eds.) Tools and Algorithms for the Construction and Analysis of Systems Tools and Algorithms for the Construction and Analysis of Systems. (Lecture Notes in Computer Science: Theoretical Computer Science and General Issues, 7214) 18th International Conference, TACAS 2012 (24/03/12 - 31/03/12) Berlin, DE. Springer, pp. 534-537. (doi:10.1007/978-3-642-28756-5_42).

Morse, Jeremy, Cordeiro, Lucas, Nicole, Denis and Fischer, Bernd (2012) Model checking LTL properties over ANSI-C programs with bounded traces. 9th International Conference on Software Engineering and Formal Methods (SEFM 2011), Montevideo, Uruguay. 14 - 18 Nov 2011. 30 pp . (doi:10.1007/s10270-013-0366-0).

Morse, Jeremy, Cordeiro, Lucas, Nicole, Denis and Fischer, Bernd (2013) Handling unbounded loops with ESBMC 1.20. Piterman, Nir and Smolka, Scott A. (eds.) In Tools and Algorithms for the Construction and Analysis of Systems. 19th International Conference, TACAS 2013, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2013, Rome, Italy, March 16-24, 2013. Proceedings. vol. 7795, Springer. pp. 619-622 . (doi:10.1007/978-3-642-36742-7_47).

Morse, Jeremy, Cordeiro, Lucas, Nicole, Denis and Fischer, Bernd (2013) Model checking LTL properties over C programs with bounded traces. Software and Systems Modeling, n/a, n/a. (doi:10.1007/s10270-013-0366-0).

Morse, Jeremy, Ramalho Gadelha, Mikhail, Cordeiro, Lucas, Nicole, Denis and Fischer, Bernd (2014) ESBMC 1.22. In, Ábrahám, Erika and Havelund, Klaus (eds.) Tools and Algorithms for the Construction and Analysis of Systems: 20th International Conference, TACAS 2014, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2014, Grenoble, France, April 5-13, 2014, Proceedings. (Lecture Notes in Computer Science, 8413) 20th International Conference, TACAS 2014 (02/04/14 - 12/04/14) Springer, pp. 405-407. (doi:10.1007/978-3-642-54862-8).

Gadelha, Mikhail Y.R., Cordeiro, Lucas C. and Nicole, Denis A. (2017) Encoding floating-point numbers using the SMT theory in ESBMC: An empirical evaluation over the SV-COMP benchmarks. Cavalheiro, Simone and Fiadeiro, Jose (eds.) In Formal Methods: Foundations and Applications: 20th Brazilian Symposium, SBMF 2017, Recife, Brazil, November 29 — December 1, 2017, Proceedings. vol. 10623, Springer International Publishing. pp. 91-106 . (doi:10.1007/978-3-319-70848-5_7).

Gadelha, Mikhail R., Monteiro, Felipe R., Morse, Jeremy, Cordeiro, Lucas C., Fischer, Bernd and Nicole, Denis A. (2018) ESBMC 5.0: an industrial-strength C model checker. In ASE 2018 - Proceedings of the 33rd ACM/IEEE International Conference on Automated Software Engineering. ACM Press. pp. 888-891 . (doi:10.1145/3238147.3240481).

Gadelha, Mikhail R., R. Monteiro, Felipe, Cordeiro, Lucas and Nicole, Denis (2018) Towards counterexample-guided k-induction for fast bug detection. In ESEC/FSE 2018 Proceedings of the 2018 26th ACM Joint Meeting on European Software Engineering Conference and Symposium on the Foundations of Software Engineering. ACM Press. pp. 765-769 . (doi:10.1145/3236024.3264840).

Gadelha, Mikhail R., Monteiro, Felipe, Cordeiro, Lucas and Nicole, Denis (2019) ESBMC v6.0: Verifying C Programs Using k-Induction and Invariant Inference: (Competition Contribution). Steffen, Bernhard, Kordon, Fabrice, Beyer, Dirk and Huisman, Marieke (eds.) In Tools and Algorithms for the Construction and Analysis of Systems - 25 Years of TACAS: TOOLympics, Held as Part of ETAPS 2019, Proceedings. vol. 11429 LNCS, Springer-Verlag. pp. 209-213 . (doi:10.1007/978-3-030-17502-3_15).

Gadelha, Mikhail R., Steffinlongo, Enrico, Cordeiro, Lucas, Fischer, Bernd and Nicole, Denis (2019) SMT-based refutation of spurious bug reports in the clang static analyzer. In ICSE '19 Proceedings of the 2019 IEEE/ACM 41st International Conference on Software Engineering: Companion Proceedings. IEEE Press. pp. 11-14 . (doi:10.1109/ICSE-Companion.2019.00026).

Morse, Jeremy, Cordeiro, Lucas, Nicole, Denis and Fischer, Bernd (2014) Applying symbolic bounded model checking to the 2012 RERS greybox challenge. International Journal on Software Tools for Technology Transfer, 16 (5), 519-529. (doi:10.1007/s10009-014-0335-0).

Gadelha, Mikhail R., Morse, Jeremy, Cordeiro, Lucas and Nicole, Denis (2017) Using clang as a frontend on a formal verification tool. FOSDEM'17, ULB Solbosch Campus, Brussels, Belgium. 04 - 05 Feb 2017.

Gadelha, Mikhail R., Morse, Jeremy, Cordeiro, Lucas and Nicole, Denis (2018) Using clang as a frontend on a formal verification tool. 2018 European LLVM Developers Meeting, , Bristol, United Kingdom. 16 - 17 Apr 2018.

Contact

Share this profile FacebookTwitterWeibo
Telephone:
+442380593376
Email:
sxn@ecs.soton.ac.uk

 PhD, BEng, CEng, FHEA, SMIEEE, FIET

Personal homepage
https://secure.ecs.soton.ac.uk/people/sxn

ECS Doctoral Programme Director, University first aider.

Prof Soon Xin Ng (Michael) [S’99-M’03-SM’08] received the B.Eng. degree (First class) in electronic engineering and the Ph.D. degree in telecommunications from the University of Southampton, Southampton, U.K., in 1999 and 2002, respectively. From 2003 to 2006, he was a postdoctoral research fellow working on collaborative European research projects known as SCOUT, NEWCOM and PHOENIX. Since August 2006, he has been a member of academic staff in the School of Electronics and Computer Science, University of Southampton. He was involved in the OPTIMIX and CONCERTO European projects as well as the IU-ATC and UC4G projects. He was the principal investigator of an EPSRC project on “Cooperative Classical and Quantum Communications Systems“. He is currently a Professor of Next Generation Communications at the University of Southampton.

His research interests include adaptive coded modulation, coded modulation, channel coding, space-time coding, joint source and channel coding, iterative detection, OFDM, MIMO, cooperative communications, distributed coding, quantum communications, quantum error correction codes, joint wireless-and-optical-fibre communications, game theory, artificial intelligence and machine learning. He has published over 260 papers and co-authored two John Wiley/IEEE Press books in this field. He has successfully supervised 15 PhD students in these research fields.

He is a Senior Member of the IEEE, a Fellow of the Higher Education Academy in the UK, a Chartered Engineer and a Fellow of the IET. He acted as TPC/track/workshop chairs for various conferences. He serves as an associate editor of Quantum Engineering. He was a guest editor for the special issues in IEEE Journal on Selected Areas in Communication as well as editors in IEEE Access and KSII Transactions on Internet and Information Systems. He is one of the Founders and Officers of the IEEE Quantum Communications & Information Technology Emerging Technical Subcommittee (QCIT-ETC). He was the IEEE ComSoc Representative at the IEEE Nanotechnology Council (NTC) during 2020-2021. He was the programme leader of Electrical and Electronic Engineering (EEE) during 2018 - 2021 and has been one of the two ECS Doctoral Programme Directors since 2021, at the University of Southampton.

Research

Research interests

Adaptive coded modulation, coded modulation, channel coding, space-time coding, joint source and channel coding, iterative detection, OFDM, MIMO, cooperative communications, distributed coding, quantum communications, quantum error correction codes, joint wireless-and-optical-fibre communications, game theory, artificial intelligence and machine learning.

Teaching

Wireless communications, quantum communications, channel coding, modulation, transmissions and detections.

Publications

Lee, C.S., Ng, S.X., Piazzo, L. and Hanzo, L. (2001) TCM, TTCM, BICM and Iterative BICM Assisted OFDM-based Digital Video Broadcasting to Mobile Receivers. VTC'01 (Spring). pp. 732-736 .

Ng, S.X., Liew, T.H., Yang, L-L. and Hanzo, L. (2001) Comparative Study of TCM, TTCM, BICM and BICM-ID Schemes. VTC'2001 (Spring), , Rhodes, Greece. 05 - 08 May 2001. pp. 2450-2454 .

Ng, S.X., Wong, C.H. and Hanzo, L. (2001) Burst-by-Burst Adaptive Decision Feedback Equalized TCM, TTCM, BICM and BICM-ID. ICC'2001, Helsinki, Finland. 10 - 14 Jun 2001. pp. 3031-3035 .

Vlahoyiannatos, S., Ng, S.X. and Hanzo, L. (2001) Combined Trellis-Coded Modulation and Blind Turbo Equalisation. Eurocon'2001, Bratislava, Slovakia. pp. 508-512 .

Cherriman, P.J., Ng, S.X. and Hanzo, L. (2001) Near-Instantaneously Adaptive Decision Feedback Equalized Coded Modulation for Wireless Video Telephony. Eurocon'2001, Bratislava, Slovakia. 03 - 06 Jul 2001. pp. 6-10 .

Ng, S.X., Kuan, E.L. and Hanzo, L. (2002) TCM, TTCM, BICM and BICM-ID Assisted Joint Detection Based CDMA. 4th ITG Colloquium on Source and Channel Coding, Berlin, Germany. 28 - 30 Jan 2002. pp. 365-370 .

Ng, S. X., Yen, K. and Hanzo, L. (2002) Coded Modulation Assisted Genetic Algorithm Based Multiuser Detection for CDMA Systems. Wireless'2002, , Calgary, Canada. 07 - 09 Jul 2002. pp. 429-433 .

Ng, S.X., Yen, K and Hanzo, Lajos (2002) TTCM Assisted Genetic-Algorithm Aided Reduced-Complexity Multiuser Detection. Electronics Letters, 38 (14), 722-724.

Ng, S.X., Liew, T.H., Yang, L-L. and Hanzo, L. (2000) Binary BCH Turbo Coding Performance: Union Bound and Simulation Results. VTC'2000, , Tokyo, Japan. 14 - 17 May 2000. pp. 849-853 .

Ng, S.X., Liew, T.H., Yeap, B.L., Kuan, E.L. and Hanzo, L. (2002) Single-Carrier Space-Time Trellis and Space-Time Block Coding for Dispersive Rayleigh Fading Channels. VTC'2002 (Fall), Vancouver, Canada. 23 - 27 Sep 2002. pp. 1902-1906 .

Chung, J.Y., Ng, S.X., Kuan, E.L. and Hanzo, L. (2002) Burst-by-Burst Adaptive Coded Modulation-Aided Joint Detection-Based CDMA for Wireless Video Telephony. VTC'2002 (Spring), , Birmingham, United States. 05 - 08 May 2002. pp. 1317-1321 .

Yee, M.S., Ng, S.X. and Hanzo, L. (2002) Iterative Radial Basis Function Assisted Turbo Equalisation of Various Coded Modulation Schemes. VTC'2002 (Spring), , Birmingham, United States. 05 - 08 May 2002. pp. 1705-1709 .

Ng, S.X., Yang, L-L., Liew, T.H. and Hanzo, L. (2002) Space-Time Coding-Assisted Double-Spread Rake Receiver-Based CDMA for Dispersive Rayleigh Fading Environments. VTC'2002 (Spring), , Birmingham, United States. 05 - 08 May 2002. pp. 1670-1674 .

Ng, S.X. and Hanzo, L. (2002) Space-Time IQ-interleaved TCM and TTCM for AWGN and Rayleigh Fading Channels. Electronics Letters, 38 (24), 1553-1555.

Kuan, E.L., Ng, S.X. and Hanzo, L. (2002) Joint-Detection and Interference Cancellation Based Burst-by-Burst Adaptive CDMA Schemes. IEEE Transactions on Vehicular Technology, 51 (6), 1479-1493.

Yee, M.S., Ng, S.X. and Hanzo, L. (2003) Radial basis function assisted reduced complexity inphase/quadrature-phase turbo equalisation of coded modulation schemes. VTC'2003 (Spring), Jeju Island, Jeju, Korea, Republic of. 21 - 24 Apr 2003. pp. 2367-2371 .

Ng, S.X. and Hanzo, L. (2003) Space-time block coded IQ-interleaved joint coding and modulation for AWGN and Rayleigh fading channels. VTC'2003 (Spring), Jeju Island, Jeju, Korea, Republic of. 21 - 24 Apr 2003. pp. 1367-1371 .

Guo, F., Ng, S.X. and Hanzo, L. (2003) LDPC Assisted Block Coded Modulation for Transmission over Rayleigh Fading Channels. VTC'2003 (Spring), Jeju Island, Jeju, Korea, Republic of. 21 - 24 Apr 2003. pp. 1867-1871 .

Ng, S.X., Guo, F., Wang, J. and Hanzo, L. (2003) Joint source-Coding, Channel Coding and Modulation Schemes for AWGN and Rayleigh Fading Channels. Electronics Letters, 39 (17), 1259-1261.

Hua, Wei, Ng, S.X. and Hanzo, L. (2003) Coded Modulation Assisted Iterative Parallel Interference Cancellation Aided CDMA. of VTC'2003 (Fall), Orlando, Florida, United States. 05 - 08 Oct 2003.

Ng, S.X., Liew, T.H. and Hanzo, L. (2003) Space-Time Block Coded and IQ-interleaved TCM, TTCM, BICM and BICM-ID Assisted OFDM. of VTC'2003 (Fall), Orlando, Florida, United States. 05 - 08 Oct 2003.

Chung, J.Y., Guo, F., Ng, S.X. and Hanzo, L. (2003) Burst-by-burst Adaptive Joint-Detection CDMA/H.26L Based Wireless Video Telephony using TTCM and LDPC Codes. of VTC'2003 (Fall), Orlando, Florida, United States. 05 - 08 Oct 2003.

Ng, S.X., Yen, K. and Hanzo, L. (2003) M-ary Coded Mouldation Assisted Genetic Algorithm Based Multiuser Detection for CDMA Systems. of WCNC'2003, New Orleans, Louisiana, United States. 17 - 19 Mar 2003. pp. 779-783 .

Hanzo, L., Ng, S.X., Webb, W.T. and Keller, T. (2004) Quadrature Amplitude Modulation: From Basics to Adaptive Trellis-Coded, Turbo-Equalised and Space-Time Coded OFDM, CDMA and MC-CDMA Systems (2nd Edition), IEEE Press - John Wiley

Ng, S.X., Guo, F., Wang, J., Yang, L-L. and Hanzo, L. (2004) Joint Iterative-Detection of Reversible Variable-Length Coded Constant Bit Rate Vector-Quantized and Coded Modulation. European Signal Processing Conference (EUSIPCO), Vienna, Austria. pp. 2231-2234 .

Othman, N.S., Ng, S.X. and Hanzo, L. (2005) Turbo-Detected Unequal Protection MPEG-4 Audio Transceiver Using Convolutional Codes, Trellis Coded Modulation and Space-Time Trellis Coding. 2005 IEEE 61st Vehicular Technology Conference, Clarion Hotel, Stockholm, Sweden. 29 - 31 May 2005. 1600 -1604 .

Othman, N.S., Ng, S.X. and Hanzo, L. (2005) Turbo-detected unequal protection audio and speech transceivers using serially concatenated convolutional codes, trellis coded modulation and space-time trellis coding. IEEE VTC'05 (Fall), Intercontinental Hotel, Dallas, United States. 24 - 27 Sep 2005. pp. 1044-1048 .

Ng, S.X., Guo, F., Wang, J., Yang, L.-L. and Hanzo, L. (2004) Jointly optimised iterative source-coding, channel-coding and modulation for transmission over wireless channels. VTC'04 (Spring), , Milan, Italy. 16 - 18 May 2004. pp. 313-317 .

Jiang, M., Ng, S. X. and Hanzo, L. (2004) TCM, TTCM, BICM and BICM-ID Assisted MMSE Multi-User Detected SDMA-OFDM Using Walsh-Hadamard Spreading. VTC'04 (Spring), , Milan, Italy. 16 - 18 May 2004. pp. 1129-1133 .

Ng, S. X., Yee, M. S. and Hanzo, L. (2003) Radial Basis Function Aided Turbo Equalisation of TCM, TTCM, BICM and BICM-ID Assisted Wireless Transceivers. International Symposium on Turbo Codes, Brest, France. 31 Aug - 04 Sep 2003. pp. 67-74 .

Ng, S. X., Maunder, R. G., Wang, J., Yang, L.-L. and Hanzo, L. (2004) Joint Iterative-Detection of Reversible Variable-Length Coded Constant Bit Rate Vector-Quantized Video and Coded Modulation. European Signal Processing Conference (EUSIPCO), Vienna, Austria. 05 - 09 Sep 2004. pp. 2231-2234 .

Ng, S. X., Chung, J. Y., Guo, F. and Hanzo, L. (2004) A Turbo-Detection Aided Serially Concatenated MPEG-4/TCM Videophone Transceiver. VTC'04 (Fall), Los Angeles, United States. 25 - 28 Sep 2004. pp. 2606-2610 .

Ng, S. X., Guo, F. and Hanzo, L. (2004) Iterative Detection of Diagonal Block Space Time Trellis Codes, TCM and Reversible Variable Length Codes for Transmission over Rayleigh Fading Channels. VTC'04 (Fall), Los Angeles, United States. 25 - 28 Sep 2004. pp. 1348-1352 .

Yeap, B. L., Maunder, R. G., Ng, S. X. and Hanzo, L. (2004) Turbo Detection of Space-time Trellis-Coded Constant Bit Rate Vector-Quantised Videophone System using Reversible Variable-Length Codes, Convolutional Codes and Turbo Codes. IEEE VTC'04 (Fall), Los Angeles, United States. 25 - 28 Sep 2004. pp. 1358-1362 .

Ng, S. X. and Hanzo, L. (2004) On the MIMO Channel Capacity of Multi-Dimensional Signal Sets. VTC'04 (Fall), Los Angeles, United States. 25 - 28 Sep 2004. pp. 1594-1598 .

Ng, S. X., Chung, J. Y. and Hanzo, L. (2004) Integrated Wireless Multimedia Turbo-Transceiver Design Approaching the Rayleigh Channel's Capacity: Interpreting Shannon's Lessons in the Turbo-Era. IEE Sparse-Graph Codes Seminar, The IEE, Savoy Place, London, United Kingdom. pp. 61-66 .

Ng, S. X., Chung, J. Y. and Hanzo, L. (2004) Turbo-Detected Unequal Protection MPEG-4 Wireless Video Telephony using Trellis Coded Modulation and Space-Time Trellis Coding. IEE International Conference on 3G Mobile Communication Technologies (3G 2004), The IEE, Savoy Place, London, United Kingdom. 17 - 19 Oct 2004. pp. 417-421 .

Ng, S. X., Yee, M. S. and Hanzo, L. (2004) Coded Modulation Assisted Radial Basis Function Aided Turbo Equalisation for Dispersive Rayleigh Fading Channels. IEEE Transactions on Wireless Communications, 3 (6), 2198-2206.

Ng, S.X., Yeap, B.L. and Hanzo, L. (2005) Full-Rate, Full-Diversity Adaptive Space Time Block Coding for Transmission over Rayleigh Fading Channels. 2005 IEEE 61st Vehicular Technology Conference, Clarion Hotel, Stockholm, Sweden. 29 - 31 May 2005. pp. 1210-1214 .

Ng, S.X. and Hanzo, L. (2005) Iteratively Decoded Variable Length Space-Time Coded Modulation. 8th International Symposium on Communication Theory and Applications, St. Martins College, Ambleside, United Kingdom. 16 - 21 Jul 2005. pp. 309-314 .

Maunder, R. G., Kliewer, J., Ng, S. X., Wang, J., Yang, L-L. and Hanzo, L. (2005) Iterative Joint Video and Channel Decoding in a Trellis-Based Vector-Quantized Video Codec and Trellis-Coded Modulation Aided Wireless Videophone. IEEE VTC05 (Fall), Dallas, Texas, United States. 24 - 27 Sep 2005. pp. 922-926 .

Ng, S.X., Wang, J., Yang, L-L. and Hanzo, L. (2005) Variable Length Space Time Coded Modulation. IEEE VTC'05 (Fall), Intercontinental Hotel, Dallas, United States. 24 - 27 Sep 2005. pp. 1049-1053 .

Jiang, M., Ng, S.X. and Hanzo, L. (2005) Slow subcarrier-hopped Space Division Multiple Access OFDM systems. IEEE VTC'05 (Fall), Intercontinental Hotel, Dallas, United States. 24 - 27 Sep 2005. pp. 448-452 .

Martini, M. G., Mazzotti, M., Chiani, M., Panza, G., Lamy-Bergot, C., Huusko, J., Jeney, G., Feher, G. and Ng, S. X. (2005) Controlling Joint Optimization of Wireless Video Transmission: the PHOENIX Basic Demonstration Platform. Proceedings of 14th IST Mobile & Wireless Communication Summit, Dresden. 18 - 22 Jun 2005.

Ng, S. X. and Hanzo, L. , Heath, R. (ed.) (2006) On the MIMO Channel Capacity of Multi-Dimensional Signal Sets. IEEE Transactions on Vehicular Technology, 55 (2), 528-536.

Ng, S. X., Hanzo, L., Chung, J. Y. and Cherriman, P. , Guillemot, C. (ed.) (2006) Burst-by-Burst Adaptive Decision Feedback Equalised TCM, TTCM and BICM for H.263-Assisted Wireless Video Telephony. IEEE Transactions on Circuits and Systems for Video Technology, 16 (3), 363-374.

Jiang, M., Ng, S. X. and Hanzo, L. , Valenti, M. (ed.) (2006) Hybrid Iterative Multiuser Detection for Channel Coded Space Division Multiple Access OFDM Systems. IEEE Transactions on Vehicular Technology, 55 (1), 115-127.

Ng, S. X., Chung, J. Y. and Hanzo, L. (2005) Turbo-Detected Unequal Protection MPEG-4 Wireless Video Telephony using Multi-Level Coding, Trellis Coded Modulation and Space-Time Trellis Coding. IEE Proceedings Communications, 152 (6), 1116-1124.

Wang, J., Ng, S.X., Wolfgang, A., Yang, L-L., Chen, S. and Hanzo, L. (2006) Near-capacity three-stage MMSE turbo equalization using irregular convolutional codes. Turbo-Coding-2006, Munich, Germany. 02 - 06 Apr 2006. 6 pages .

Kliewer, J, Ng, S. X. and Hanzo, L. (2006) On the Computation of EXIT Characteristics for Symbol-Based Iterative Decoding. International Symposium on Turbo Coding, Munich, Germany. 02 - 06 Apr 2006.

Tee, R. Y. S., Ng, S. X. and Hanzo, L. (2006) Precoder-Aided Iterative Detection Assisted Multilevel Coding and Three-Dimensional EXIT-Chart Analysis. IEEE WCNC'06, Las Vegas, Nevada, United States. 02 - 05 Apr 2006. pp. 1322-1326 .

Alamri, O., Ng, S. X., Guo, F. and Hanzo, L. (2006) A Purely Symbol-Based Precoded and LDPC-Coded Iterative-Detection Assisted Sphere-Packing Modulated Space-Time Coding Scheme. IEEE WCNC'06, Las Vegas, Nevada, United States. 02 - 05 Apr 2006. pp. 1201-1206 .

Tee, R.Y.S., Ng, S.X. and Hanzo, L. (2006) Three-Dimensional EXIT Chart Analysis of Iterative Detection Aided Coded Modulation Schemes. IEEE VTC'06 (Spring), Melbourne, Australia. 06 - 09 May 2006. pp. 2494-2498 .

Wang, J., Ng, S.X., Yang, L-L. and Hanzo, L. (2006) Combined Serially Concatenated Codes and MMSE Equalization: an EXIT Chart Aided Perspective. IEEE VTC'06 (Fall), , Montreal, Canada. 24 - 27 Sep 2006. 5 pages .

Ng, S. X., Kliewer, J., Alamri, O. and Hanzo, L. (2006) On the Design of Turbo Trellis Coded Modulation schemes using Symbol-based EXIT Charts. IEEE VTC'06 (Fall), , Montreal, Canada. 24 - 27 Sep 2006. pp. 1-5 .

Kliewer, Jorg, Ng, S.X and Hanzo, L (2006) Efficient Computation of EXIT Functions for Nonbinary Iterative Decoding. IEEE Transactions on Communications, 54 (12), 2133-2136.

Ahmed, S., Ng, S.X., Yang, L.L. and Hanzo, L. (2007) Iterative Decoding and Soft Interference Cancellation in Fast Frequency Hopping Multiuser System Using Clipped Combining. IEEE WCNC'07, Hong Kong. 11 - 15 Mar 2007. pp. 723-728 .

Maunder, R. G., Wang, J., Ng, S. X., Yang, L-L. and Hanzo, L. (2007) Iteratively Decoded Irregular Variable Length Coding and Trellis Coded Modulation. IEEE Workshop on Signal Processing Systems, Shanghai, China. 16 - 18 Oct 2007. pp. 222-227 .

Ahrens, A., Liu, W., Ng, S.X., Kuehn, V., Yang, L-L. and Hanzo, L. (2007) SVD-Aided, Iteratively Detected Spatial Division Multiplexing Using Long-Range Channel Prediction. IEEE SiSP'07, Shanghai, China. 16 - 18 Oct 2007. pp. 391-396 .

Ng, S.X., Liu, W., Yang, L-L. and Hanzo, L. (2007) Channel Prediction Aided Coded Modulation Assisted Eigen-Beamforming. IEEE VTC'07 (Spring), Dublin, Ireland. 21 - 24 Apr 2007. pp. 1742-1746 .

Ng, S. X., Wang, J., Tao, M., Yang, L.-L. and Hanzo, L. , Vitetta, G. (ed.) (2007) Iteratively Decoded Variable Length Space-Time Coded Modulation: Code Construction and Convergence Analysis. IEEE Transactions on Wireless Communications, 6 (5), 1953-1963.

Maunder, R. G., Kliewer, J., Ng, S. X., Wang, J., Yang, L.-L. and Hanzo, L. (2007) Joint Iterative Decoding of Trellis-Based VQ and TCM. IEEE Transactions on Wireless Communications, 6 (4), 1327-1336.

Liu, W., Ng, S. X., Yang, L-L. and Hanzo, L. (2007) Joint Channel Prediction Aided Differentially Encoded TTCM and BICMID Assisted Eigen-Beamforming. Electronics Letters, 43 (4), 232-234.

Maunder, R.G., Wang, J., Ng, S.X., Yang, L-L. and Hanzo, L. (2008) On the performance and complexity of irregular variable length codes for near-capacity joint source and channel coding. IEEE Transactions on Wireless Communications, 7 (4), 1338-1347. (doi:10.1109/TWC.2008.060891).

Ahmed, S., Maunder, R. G., Yang, L-L., Ng, S. X. and Hanzo, L. (2007) Joint Source Coding, Unity Rate Precoding and FFH-MFSK Modulation using Iteratively Decoded Irregular Variable Length Coding. IEEE VTC'07 (Fall), Baltimore, MD, United States. 29 Sep - 02 Oct 2007. pp. 1042-1046 .

El-Hajjar, M., Maunder, R. G., Alamri, O., Ng, S. X. and Hanzo, L. (2007) Iteratively Decoded Irregular Variable Length Coding and Sphere-Packing Modulation-Aided Differential Space-Time Spreading. IEEE VTC'07 (Fall), Baltimore, MD, United States. 29 Sep - 02 Oct 2007. pp. 1238-1242 .

Ng, S.X., Liu, W., Wang, J., Tao, M., Yang, L.-L. and Hanzo, L (2007) Performance Analysis of Iteratively Decoded Variable-Length Space-Time Coded Modulation. IEEE ICC'07, Glasgow, UK, United Kingdom. 23 - 27 Jun 2007. pp. 5921-5926 .

Tee, R.Y.S., Alamri, O., Ng, S.X. and Hanzo, L. (2007) Equivalent-Capacity-Based Design of Space-Time Block-Coded Sphere-Packing-Aided Multilevel Coding. IEEE ICC'07, Glasgow, UK, United Kingdom. 23 - 27 Jun 2007. pp. 4173-4178 .

Alamri, O., Wang, J., Ng, S.X., Yang, L.-L. and Hanzo, L. (2007) Near-Capacity Transceiver Design Using Exit-Curve Fitting: Three-Stage Turbo Detection of Irregular Convolutional Coded Joint Sphere-Packing Modulation and Space-Time Coding. IEEE ICC 2007, Glasgow., United Kingdom. 23 - 27 Jun 2007. pp. 4028-4033 .

Ng, Soon, Alamri, Osamah, Li, Y and Hanzo, Lajos (2007) Near-Capacity Turbo Trellis Coded Modulation Design. IEEE VTC'07 (Fall), Baltimore, MD, United States. 29 Sep - 02 Oct 2007. pp. 1707-1711 .

Tee, R, Nguyen Dang, T, Ng, S, Yang, L-L and Hanzo, L (2007) Luby Transform Coding Aided Bit-Interleaved Coded Modulation for the Wireless Internet. IEEE VTC'07 (Fall), Baltimore, MD, United States. 29 Sep - 02 Oct 2007. pp. 2025-2029 .

Wei, C.Y., Akhtman, J., Ng, S.X. and Hanzo, L. (2008) Iterative Near-Maximum-Likelihood Detection in Rank-Deficient Downlink SDMA Systems. IEEE Transactions on Vehicular Technology, 57 (1), 653-657.

Ahrens, Andreas, Ng, Soon, Kühn, Volker and Hanzo, Lajos (2008) Modulation-Mode Assignment for SVD-Aided and BICM-Assisted Spatial Division Multiplexing. Physical Communication, 7pages.

Alamri, Osamah, Ng, Soon, Guo, Feng, Zummo, S and Hanzo, Lajos (2008) Sphere-Packing Modulated Space-Time Coding Using Non-Binary LDPC-Coded Iterative-Detection. IEEE WCNC'08, Las Vegas, Nevada, United States. 30 Mar - 02 Apr 2008. pp. 106-111 .

Tan, S., Wang, J., Ng, S.X., Hanzo, L. and Chen, Sheng (2008) Three-Stage Turbo MBER Multiuser Beamforming Receiver using Irregular Convolutional Codes. IEEE Transactions on Vehicular Technology, 57 (3), 1657-1663.

Othman, N.S., El-Hajjar, M., Pham, A.Q., Alamri, O., Ng, S.X. and Hanzo, L. (2008) Over-Complete Source-Mapping Aided AMR-WB MIMO Transceiver Using Three-Stage Interative Detection. IEEE ICC'08, Beijing, China. 18 - 22 May 2008. pp. 751-755 .

Ng, Soon Xin, Wang, J and Hanzo, L (2008) Unveiling Near-Capacity Code Design: The Realization of Shannon's Communication Theory for MIMO Channels. IEEE ICC'08, Beijing, China. 18 - 22 May 2008. pp. 1415-1419 .

El Hajjar, Mohammed, Alamri, Osamah, Ng, Soon and Hanzo, Lajos (2008) Turbo Detection of Precoded Sphere Packing Modulation Using Four Transmit Antennas for Differential Space-Time Spreading. IEEE Transactions on Wireless Communications, 7 (3), 943-952. (doi:10.1109/TWC.2008.060708).

Riaz, Raja, El Hajjar, Mohammed, Ahmed, Qasim, Ng, Soon, Chen, Sheng and Hanzo, Lajos (2008) Convergence Analysis of Iteratively Detected Time Hopping and DS-CDMA Ultrawide Bandwidth Systems by EXIT Charts,. IEEE VTC'08 (Spring), Marina Bay, Singapore. 10 - 13 May 2008. pp. 1127-1131 .

Butt, Muhammad Fasih, Ng, Soon and Hanzo, Lajos (2008) EXIT Chart Aided Design of Near-Capacity Self-Concatenated Trellis Coded Modulation Using Iterative Decoding,. IEEE VTC'08 (Spring), Marina Bay, Singapore. 10 - 13 May 2008. pp. 734-738 .

Ng, Soon Xin, Das, S., Wang, J. and Hanzo, Lajos (2008) Near-Capacity Iteratively Decoded Space-Time Block Coding. IEEE VTC'08 (Spring), Marina Bay, Singapore. 10 - 13 May 2008. pp. 590-594 .

Wu, Nan, Alamri, Osamah, Ng, Soon and Hanzo, Lajos (2008) Precoded Sphere Packing Aided Bit-Interleaved Differential Spa ce-Time Coded Modulation Using Iterative Decoding. IEEE Transactions on Vehicular Technology, 57 (1), 1311-1316. (doi:10.1109/TVT.2007.907076).

Riaz, R.A., El-Hajjar, M., Ahmed, Q.Z., Ng, S.X., Chen, S. and Hanzo, L. (2008) EXIT chart aided design of DS-CDMA ultra wideband systems using iterative decoding. 2008 IEEE 68th Vehicular Technology Conference, , Calgary, Canada. 20 - 23 Sep 2008. 5 pages .

Alamri, Osamah, Ng, Soon, Guo, Feng, Zummo, S and Hanzo, Lajos (2008) Nonbinary LDPC-Coded Sphere-Packed Transmit Diversity. IEEE Transactions on Vehicular Technology, 3200-3205.

Tee, R. Y. S., Alamri, Osamah, Ng, Soon and Hanzo, Lajos (2008) Equivalent Capacity-Based Joint Multilevel Coding and Space–Time Transmit Diversity Design. IEEE Transactions on Vehicular Technology, 3006-3014.

Kong, Lingkun, Ng, Soon and Hanzo, Lajos (2008) Near-Capacity Three-Stage Downlink Iteratively Decoded Generalized Layered Space-Time Coding with Low Complexity. IEEE Globecom 2008. 5pages .

Butt, Muhammad Fasih, Riaz, Raja, Ng, Soon and Hanzo, Lajos (2008) Near-Capacity Iteratively Decoded Binary Self-Concatenated Code Design Using EXIT Charts. IEEE Globecom 2008. 5pages .

Tee, Ronald Y S, Alamri, Osamah R., Ng, S. X. and Hanzo, Lajos (2009) Bit-Interleaved Sphere-Packing-Aided Iteratively Detected Space-Time Coded Modulation. IEEE Transactions on Vehicular Technology, 493-499.

Ng, Soon Xin, Alamri, Osamah, Li, Yonghui, Kliewer, Jorg and Hanzo, Lajos (2008) Near-capacity turbo trellis coded modulation design based on EXIT charts and union bounds. IEEE Transactions on Communications, 56 (12), 2030 -2039. (doi:10.1109/TCOMM.2008.06039522).

Ng, Soon Xin, Li, Yonghui and Hanzo, Lajos (2009) Distributed Turbo Trellis Coded Modulation for Cooperative Communications. IEEE ICC'09, Dresden, Germany. 13 - 17 Jun 2009.

Riaz, Raja, Maunder, Robert G., Butt, Muhammad Fasih, Ng, Soon, Chen, Sheng and Hanzo, Lajos (2009) Three-Stage Concatenated Ultra-Wide Bandwidth Time-Hopping Spread-Spectrum Impulse Radio Using Iterative Detection. IEEE ICC'09, Dresden, Germany. 13 - 17 Jun 2009.

Riaz, Raja, Butt, Muhammad Fasih, Maunder, Robert G., Ng, Soon, Chen, Sheng and Hanzo, Lajos (2009) Optimized irregular variable length coding design for iteratively decoded ultrawideband time-hoping spread-spectrum impulse radio. Proc. VTC 2009-Spring, Barcelona, Spain. 25 - 28 Apr 2009. 5 pages .

Liu, Wei, Ng, Soon Xin and Hanzo, Lajos (2009) Multicell Cooperation Based SVD Assisted Multi-User MIMO Transmission. IEEE Vehicular Technology Conference, Barcelona, Spain.

Kong, Lingkun, Ng, Soon, Maunder, Robert G. and Hanzo, Lajos (2009) Irregular Distributed Space-Time Code Design for Near-Capacity Cooperative Communications. IEEE VTC 2009 Fall, Anchorage, AK, USA.

Ng, Soon Xin, Butt, Muhammad Fasih and Hanzo, Lajos (2009) On the Union Bounds of Self-Concatenated Convolutional Codes. IEEE Signal Processing Letters, 16 (9), 754-757.

Riaz, Raja Ali, Maunder, Robert G, Butt, Muhammad Fasih Uddin, Ng, Soon X, Chen, Sheng and Hanzo, Lajos (2009) EXIT-chart aided three-stage concatenated ultrawideband time-hopping spread-spectrum impulse radio design. IEEE Transactions on Vehicular Technology, 58 (9), 5320-5324.

Kong, Lingkun, Ng, Soon X., Maunder, Robert G. and Hanzo, Lajos (2009) Successive Relaying Aided Near-Capacity Irregular Distributed Space-Time Coding. IEEE Globecom 2009, Honolulu, Hawaii, United States. 30 Nov - 04 Dec 2009.

Kong, Lingkun, Liu, Wei, Ng, Soon and Hanzo, Lajos (2009) Near-Capacity Iteratively Decoded Markov-Chain Monte-Carlo Aided BLAST System. IEEE Globecom 2009, Honolulu, Hawaii, United States. 30 Nov - 04 Dec 2009.

Riaz, Raja, Butt, Muhammad Fasih, Ng, Soon, Chen, Sheng and Hanzo, Lajos (2009) Near-capacity UWB impulse radio using EXIT chart aided self-concatenated codes. VTC2009-Fall, Anchorage, Alaska, United States. 19 - 22 Sep 2009. 5 pages .

Butt, Muhammad Fasih, Riaz, Raja, Ng, Soon and Hanzo, Lajos (2009) Distributed Self-Concatenated Codes for Low-Complexity Power-Efficient Cooperative Communication. IEEE VTC'09 Fall, Anchorage, Alaska, United States. 19 - 22 Sep 2009.

Wang, Li, Kong, Lingkun, Ng, Soon and Hanzo, Lajos (2009) To Cooperate or Not: A Capacity Perspective. VTC 2010 Spring, Taipei. 15 - 18 May 2010. (Submitted)

Kong, Lingkun, Ng, Soon Xin, Tee, Ronald Y. S., Maunder, Robert G. and Hanzo, Lajos (2010) Reduced-complexity near-capacity downlink iteratively decoded generalized multi-layer space-time coding using irregular convolutional codes. IEEE Transactions on Wireless Communications, 9 (2), 684-695. (doi:10.1109/TWC.2010.02.081117).

Kong, Lingkun, Ng, Soon Xin, Maunder, Robert G. and Hanzo, Lajos (2010) Maximum-Throughput Irregular Distributed Space-Time Code for Near-Capacity Cooperative Communications. IEEE Transactions on Vehicular Technology, 59 (3), 1511-1517.

Kong, Lingkun, Ng, Soon Xin, Maunder, Robert G. and Hanzo, Lajos (2010) Near-capacity cooperative space-time coding employing irregular design and successive relaying. IEEE Transactions on Communications, 58 (8), 2232-2241. (doi:10.1109/TCOMM.2010.08.090646).

Sugiura, Shinya, Ng, Soon, Kong, Lingkun, Chen, Sheng and Hanzo, Lajos (2010) Multiple-relay aided distributed turbo coding assisted differential unitary space-time spreading for asynchronous cooperative networks. VTC 2010 Spring, Taipei. 15 - 18 May 2010. 5 pages .

Othman, Noor, El-Hajjar, Mohammed, Pham Quang, Anh, Alamri, Osamah, Ng, Soon and Hanzo, Lajos (2010) Over-Complete Source-Mapping Aided AMR-WB Using Iteratively Detected Differential Space-Time Spreading. 2010 IEEE 71st Vehicular Technology Conference (VTC 2010-Spring), , Taipei, Taiwan. 15 - 18 May 2010. pp. 1-5 . (doi:10.1109/VETECS.2010.5493798).

Ng, Soon, Wang, Yang and Hanzo, Lajos (2010) Distributed convolutional-coded differential space-time block coding for cooperative communications. VTC 2010 Spring, Taipei. 15 - 18 May 2010. (doi:10.1109/VETECS.2010.5494059).

Minallah, Nasru, Butt, Muhammad Fasih, Ng, Soon and Hanzo, Lajos (2010) H.264 wireless video telephony using iteratively-detected binary self-concatenated coding. VTC 2010 Spring, Taipei. 15 - 18 May 2010.

Ng, Soon, Qian, Chuyi, Liang, Dandan and Hanzo, Lajos (2010) Adaptive turbo trellis coded modulation aided distributed space-time trellis coding for cooperative communications. VTC2010-Spring, Taipei, Taiwan. 15 - 18 May 2010. 5 pp .

Wang, Li, Kong, Lingkun, Ng, Soon and Hanzo, Lajos (2010) A Near-Capacity Differentially Encoded Non-Coherent Adaptive Multiple-Symbol-Detection Aided Three-Stage Coded Scheme. VTC 2010 Spring, Taipei. 15 - 18 May 2010. (doi:10.1109/VETECS.2010.5493817).

Butt, Muhammad Fasih, Zhang, Rong, Ng, Soon and Hanzo, Lajos (2010) Superposition Coding Aided Bi-directional Relay Transmission Employing Iteratively Decoded Self-Concatenated Convolutional Codes. VTC 2010 Spring, Taipei. 15 - 18 May 2010. (doi:10.1109/VETECS.2010.5493939).

Alamri, Osamah, Poupart, Blandine, El-Hajjar, Mohammed, Ng, Soon and Hanzo, Lajos (2010) On multidimensional BICM-ID constellation labelling. 2010 IEEE International Conference on Communications (ICC), Cape Town, South Africa. 22 - 26 May 2010. pp. 1-5 . (doi:10.1109/ICC.2010.5502739).

Zuo, Jing, Ng, Soonxin and Hanzo, Lajos (2010) Fuzzy Logic Aided Dynamic Source Routing in Cross-Layer Operation Assisted Ad Hoc Networks. VTC 2010 Fall, Ottawa, Canada. 05 - 08 Sep 2010.

Nguyen, Hung, Ng, Soon and Hanzo, Lajos (2010) Distributed Three-Stage Concatenated Irregular Convolutional, Unity-Rate and Space-Time Trellis Coding for Single-Antenna Aided Cooperative Communications. VTC2010-FALL Ottawa, Canada, Ottawa, Canada. 05 - 08 Sep 2010. (Submitted) (doi:10.1109/VETECF.2010.5594321).

Ng, Soon, Zhu, Kai and Hanzo, Lajos (2010) Distributed Source-coding, Channel-coding and Modulation for Cooperative Communications. VTC2010-FALL Ottawa, Canada, Ottawa, Canada. 05 - 08 Sep 2010.

Liang, Dandan, Ng, Soon and Hanzo, Lajos (2010) Relay-Induced Error Propagation Reduction for Decode-and-Forward Cooperative Communications. Globecom 2010, Miami, United States. 06 - 10 Dec 2010. (doi:10.1109/GLOCOM.2010.5683872).

Butt, Muhammad Fasih, Riaz, Raja, Ng, Soon and Hanzo, Lajos (2010) Distributed Self-Concatenated Coding for Cooperative Communication. IEEE Transactions on Vehicular Technology, 59, 3097-3104.

Butt, Muhammad Fasih, Riaz, Raja, Ng, Soon and Hanzo, Lajos (2010) Near-capacity iterative decoding of binary self-concatenated codes using soft decision demapping and 3-D EXIT charts. IEEE Transactions on Wireless Communications, 9 (5), 1608-1616. (doi:10.1109/TWC.2010.05.081254).

Zuo, Jing, Nguyen, Hung, Ng, Soonxin and Hanzo, Lajos (2011) Energy-Efficient Relay Aided Ad Hoc Networks Using Iteratively Detected Irregular Convolutional Coded, Unity-Rate Coded and Space-Time Trellis Coded Transceivers. WCNC 2011, Cancun, Mexico. 28 - 31 Mar 2011.

Feng, Jiao, Zhang, Rong, Ng, Soon and Hanzo, Lajos (2011) Relay selection for energy-efficient cooperative media access control. IEEE WCNC 2011, Cancun, Mexico. 28 - 31 Mar 2011. 6 pp .

Xu, Chao, Sugiura, Shinya, Ng, Soon and Hanzo, Lajos (2011) Reduced-Complexity Noncoherently Detected Differential Space-Time Shift Keying. WCNC 2011, Cancun, Mexico. 27 - 30 Mar 2011. pp. 1505-1510 . (In Press)

Xu, Chao, Ng, Soon and Hanzo, Lajos (2011) Near-Capacity Irregular Convolutional Coded Cooperative Differential Linear Dispersion Codes Using Multiple-Symbol Differential Decoding Aided Non-coherent Detection. ICC 2011, Kyoto, Japan. 04 - 08 Jun 2011. pp. 1-5 .

Liang, Dandan, Ng, Soon Xin and Hanzo, Lajos (2011) Soft-decision Star-QAM aided BICM-ID. IEEE Signal Processing Letters.

Xu, Chao, Sugiura, Shinya, Ng, Soon and Hanzo, Lajos (2011) Reduced-Complexity Noncoherently Detected Differential Space-Time Shift Keying. IEEE Signal Processing Letters, 18 (3), 153-156.

Xu, Chao, Ng, Soon and Hanzo, Lajos (2011) Near-Capacity Irregular Convolutional Coded Cooperative Differential Linear Dispersion Codes Using Multiple-Symbol Differential Detection. IEEE Signal Processing Letters, 18 (3), 173-176. (In Press)

Zuo, Jing, Dong, Chen, Ng, Soon, Yang, Lie-Liang and Hanzo, Lajos (2011) Energy-Efficient Routing in Ad Hoc Networks Relying on Channel State Information and Limited MAC Retransmissions. VTC2011-Fall, San Francisco, United States. 05 - 08 Sep 2011.

Xu, Chao, Liu, Cong, Ng, Soon and Hanzo, Lajos (2011) Multiple-Symbol Differential Sphere Decoding Aided Amplify-and-Forward Differential Space-Time Modulation. VTC2011-Fall, San Francisco, United States. 04 - 07 Sep 2011.

Nguyen, Hung, Ng, Soon and Hanzo, Lajos (2011) Performance Bounds of Network Coding Aided Cooperative Multiuser Systems. IEEE Signal Processing Letters, 18 (7), 435-438.

Nguyen, Hung, Ng, Soon Xin, Luiz Rebelatto, Joao, Li, Yonghui and Hanzo, Lajos (2011) Near-Capacity Network Coding for Cooperative Multi-User Communications. VTC'2011 Fall.

Nguyen, Hung, Xu, Chao, Ng, Soon, Luiz Rebelatto, Joao, Li, Yonghui and Hanzo, Lajos (2011) Near-Capacity Non-Coherent Network-Coding Aided Scheme for Cooperative Multi-user Communications. VTC'2011 Fall.

Liang, Wei, Ng, Soon and Hanzo, Lajos (2011) TTCM-Aided SDMA-Based Two-Way Relaying. VTC2011-Fall, San Francisco, United States. 04 - 07 Sep 2011.

Xu, Chao, Wang, Li, Ng, Soon and Hanzo, Lajos (2011) Multiple-Symbol Differential Sphere Detection Aided Differential Space-Time Block Codes Using QAM Constellations. IEEE Signal Processing Letters, 18 (9), 497-500.

Liang, Dandan, Song, Meng, Ng, Soon and Hanzo, Lajos (2011) Turbo Coded and Cooperative Network Coded Non-Coherent Soft-Decision Star-QAM Dispensing with Channel Estimation. GLOBECOM 2011, Houston, Texas, United States. 05 - 09 Dec 2011.

Wang, Li, Kong, Lingkun, Ng, Soon and Hanzo, Lajos (2011) Code-rate-optimized differentially modulated near-capacity cooperation. IEEE Transactions on Communications, 59 (8), 2185-2195.

Xu, Chao, Sugiura, Shinya, Ng, Soon and Hanzo, Lajos (2011) Reduced-Complexity Soft-Decision Aided Space-Time Shift Keying. IEEE Signal Processing Letters, 18 (10), 547-550.

Xu, Chao, Ternon, Emmanuel, Sugiura, Shinya, Ng, Soon and Hanzo, Lajos (2011) Multiple-Symbol Differential Sphere Decoding Aided Cooperative Differential Space-Time Spreading for the Asynchronous CDMA Uplink. GLOBECOM 2011, Houston, Texas, United States. 05 - 09 Dec 2011.

Liang, Dandan, Ng, Soon and Hanzo, Lajos (2011) Near-Capacity Turbo Coded Soft-decision Aided DAPSK/Star-QAM. VTC2011-Fall, San Francisco, United States. 04 - 07 Sep 2011. (doi:10.1109/VETECF.2011.6093298).

Minallah, Nasru, Butt, Muhammad Fasih, El Hajjar, Mohammed, Ng, Soon and Hanzo, Lajos (2011) Self-concatenated coding and multi-functional MIMO aided H.264 video telephony. VTC2011-Fall, San Francisco, United States. 04 - 07 Sep 2011. (doi:10.1109/VETECF.2011.6093304).

Butt, Muhammad Fasih, Ng, Soon and Hanzo, Lajos (2012) Self-concatenated code design and its application in power-efficient cooperative communications. IEEE Communications Surveys & Tutorials, 14 (3), 858-883. (doi:10.1109/SURV.2011.081511.00104).

Sugiura, Shinya, Xu, Chao, Ng, Soon and Hanzo, Lajos (2011) Reduced-Complexity Coherent Versus Non-Coherent QAM-Aided Space-Time Shift Keying. IEEE Transactions on Communications, 59 (11), 3090-3101.

Liang, Wei, Ng, Soon Xin and Hanzo, Lajos (2012) Adaptive turbo trellis coded modulation aided cooperative cognitive radio. IEEE Wireless Communications and Networking Conference (WCNC), Paris, France. 31 Mar - 03 Apr 2012. pp. 2389-2393 . (doi:10.1109/WCNC.2012.6214189).

Nguyen, Hung, Xu, Chao, Ng, Soon Xin and Hanzo, L. (2012) Non-coherent near-capacity network coding for cooperative multi-user communications. IEEE Transactions on Communications, 60 (10).

Ng, S.X., Liu, Wei, Jian-Dong, Li and Hanzo, Lajos (2012) Near-capacity FEC codes for non-regenerative MIMO-aided relays. IEEE Vehicular Technology Conference (VTC), Yokohama-shi, Japan. 05 - 08 May 2012. (doi:10.1109/VETECS.2012.6240070).

Xu, Chao, Liang, Dandan, Sugiura, Shinya, Ng, S.X. and Hanzo, Lajos (2012) Reduced-complexity soft-decision aided PSK detection. IEEE Vehicular Technology Conference (VTC) Fall 2012, Quebec, Canada. 02 - 08 Sep 2012.

Xu, Chao, Liang, Dandan, Sugiura, Shinya, Ng, S.X. and Hanzo, Lajos (2012) Reduced-complexity soft STBC detection. 2012 IEEE Global Communications Conference, Anaheim, United States. 03 - 07 Dec 2012.

Sugiura, Shinya, Xu, Chao, Ng, Soon and Hanzo, Lajos (2012) Reduced-complexity iterative-detection aided generalized space-time shift keying. IEEE Transactions on Vehicular Technology, 61 (8), 3656-3664.

Xu, Chao, Sugiura, Shinya, Ng, Soon Xin and Hanzo, Lajos (2013) Spatial modulation and space-time shift keying: optimal performance at a reduced detection complexity. IEEE Transactions on Communications, 61 (1), 206-216. (doi:10.1109/TCOMM.2012.100312.120251).

Yang, Shaoshi, Xu, Xinyi, Alanis, Dimitrios, Ng, Soon Xin and Hanzo, Lajos (2016) Is the low-complexity mobile-relay-aided FFR-DAS capable of outperforming the high-complexity CoMP? IEEE Transactions on Vehicular Technology, 65 (4), 2154-2169. (doi:10.1109/TVT.2015.2416333).

Liang, Dandan, Xu, Xinyi, Ng, Soon Xin and Hanzo, Lajos (2012) Turbo-coded star-QAM for cooperative wireless and optical-fiber communications. 3rd International Conference on Photonics, Penang, Malaysia. 30 Sep - 02 Oct 2012. pp. 267-271 .

Ng, Soon Xin and Liao, Sha Sha (2012) Turbo-coded CDMA-based two-way relaying. Progress In Electromagnetics Research Symposium, Kuala Lumpur, Malaysia. 26 - 29 Mar 2012. pp. 287-291 .

Bayat, S., Louie, R.H.Y., Li, Y., Ng, S.X. and Vucetic, B. (2012) Distributed algorithm for multiple antenna cooperative cognitive radio networks with multiple primary and secondary users. Progress In Electromagnetics Research Symposium, Kuala Lumpur, Malaysia. 26 - 29 Mar 2012. pp. 297-302 .

Liang, Dandan, Ng, Soon Xin and Hanzo, Lajos (2013) Near-Capacity Turbo Coded Soft-decision Aided DAPSK/Star-QAM for Amplify-and-Forward based Cooperative Communications. IEEE Transactions on Communications.

Nguyen, Hung, Ng, S.X. and Hanzo, Lajos (2013) Irregular Convolution and Unity-Rate Coded Network-Coding for Cooperative Multi-User Communications. IEEE Transactions on Wireless Communications.

Xu, Chao, Liang, Dandan, Sugiura, Shinya, Ng, Soon Xin and Hanzo, Lajos (2013) Reduced-complexity approx-log-MAP and max-log-MAP soft PSK/QAM detection algorithms. IEEE Transactions on Communications. (In Press)

Xu, Chao, Liang, Dandan, Ng, Soon Xin and Hanzo, Lajos (2013) Reduced-complexity non-coherent soft-decision-aided DAPSK dispensing with channel estimation. IEEE Transactions on Vehicular Technology, 62 (6), 2633-2643.

Sugiura, Shinya, Ng, Soon Xin, Kong, Lingkun, Chen, Sheng and Hanzo, Lajos (2012) Quasi-synchronous cooperative networks: a practical cooperative transmission protocol. IEEE Vehicular Technology Magazine, 7 (4), Winter Issue, 66-76. (doi:10.1109/MVT.2012.2193493).

Feng, Jiao, Zhang, Rong, Hanzo, Lajos and Ng, Soon Xin (2014) Cooperative medium access control based on spectrum leasing. IEEE Transactions on Vehicular Technology. (doi:10.1109/TVT.2013.2272895).

Babar, Zunaira, Ng, Soon Xin and Hanzo, Lajos (2013) Reduced-complexity syndrome-based TTCM decoding. IEEE Communications Letters, 17 (6), 1220-7798. (doi:10.1109/LCOMM.2013.050313.130182).

Botsinis, Panagiotis, Ng, Soon Xin and Hanzo, Lajos (2013) Quantum search algorithms, quantum wireless, and a low-complexity maximum likelihood iterative quantum multi-user detector design. IEEE Access, 1, 94-122. (doi:10.1109/ACCESS.2013.2259536).

Babar, Zunaira, Ng, Soon Xin and Hanzo, Lajos (2014) EXIT-chart aided near-capacity quantum turbo code design. IEEE Transactions on Vehicular Technology, 1-11. (doi:10.1109/TVT.2014.2328638).

Wang, Li, Li, Li, Xu, Chao, Liang, Dandan, Ng, Soon Xin and Hanzo, Lajos (2013) Multiple-Symbol Joint Signal Processing for Differentially Encoded Single- and Multi-Carrier Communications: Principles, Designs and Applications. IEEE Communications Surveys & Tutorials. (In Press)

Aljohani, Abdulah Jeza, Ng, Soon Xin, Maunder, Robert G. and Hanzo, Lajos (2013) EXIT-chart Aided Joint Source-Coding, Channel-Coding and Modulation Design for Two-Way Relaying. IEEE Transactions on Vehicular Technology, 62 (6), 2496-2506.

Liang, Wei, Ng, Soon Xin and Hanzo, L. (2013) Cooperative Communication Between Cognitive and Primary Users. IET Communications.

Aljohani, Abdulah Jeza, Ng, Soon Xin and Hanzo, Lajos (2013) TTCM-aided rate-adaptive distributed source coding for Rayleigh fading channels. IEEE Transactions on Vehicular Technology. (In Press)

Aljohani, Abdulah Jeza, Sun, Hua, Ng, Soon Xin and Hanzo, Lajos (2013) Joint source and turbo trellis coded hierarchical modulation for context-aware medical image transmission. IEEE Healthcom'13. First International Workshop on Service Science for e-Health (SSH 2013), Lisbon, Portugal. 08 - 11 Oct 2013. 5 pp . (doi:10.1109/HealthCom.2013.6720627).

Aljohani, Abdulah Jeza, Ng, Soon Xin, Maunder, Robert G. and Hanzo, Lajos (2013) Joint TTCM-VLC-aided SDMA for two-way relaying aided wireless video transmission. 2013 IEEE 78th Vehicular Technology Conference (VTC Fall), , Las Vegas, United States. 01 - 04 Sep 2013. pp. 1-5 . (doi:10.1109/VTCFall.2013.6692262).

Babar, Zunaira, Ng, Soon Xin and Hanzo, Lajos (2013) Near-capacity code design for entanglement-assisted classical communication over quantum depolarizing channels. IEEE Transactions on Communications, 61 (12), 4801-4807. (doi:10.1109/TCOMM.2013.111013.130035).

Sun, Hua, Ng, S. X. and Hanzo, Lajos (2012) Superposition coded modulation for cooperative communications. Vehicular Technology Conference (VTC Fall), 2012 IEEE, Quebec, Canada. pp. 1-5 . (doi:10.1109/VTCFall.2012.6399229).

Sun, Hua, Ng, S.X. and Hanzo, Lajos (2013) Turbo Trellis Coded hierarchical modulation for cooperative communication. 2013 IEEE Wireless Communications and Networking Conference (WCNC 2013), , Shanghai, China. 06 - 09 Apr 2013. pp. 2789-2794 . (doi:10.1109/WCNC.2013.6555002).

Zuo, J., Dong, C., Nguyen, H. V., Ng, S. X., Yang, L. L. and Hanzo, L. (2014) Cross-layer aided energy-efficient opportunistic routing in ad hoc networks. IEEE Transactions on Communications, 62 (2), 522-535. (doi:10.1109/TCOMM.2013.121413.120767).

Botsinis, Panagiotis, Ng, Soon Xin and Hanzo, Lajos (2014) Fixed-complexity quantum-assisted multi-user detection for CDMA and SDMA. IEEE Transactions on Communications, 62 (3), 990-1000. (doi:10.1109/TCOMM.2014.012514.130615).

Babar, Zunaira, Botsinis, Panagiotis, Alanis, Dimitrios, Ng, Soon Xin and Hanzo, Lajos (2014) Quantum-aided solutions in wireless systems. International Workshop on Quantum Communication Networks, Leeds, United Kingdom. 09 - 10 Jan 2014.

Dong, Chen, Yang, Lie-Liang, Zuo, Jing, Ng, Soon and Hanzo, L. (2014) Maximum throughput adaptive rate transmission scheme for multihop diversity aided multihop links. IEEE International Conference on Communications 2014, Sydney, Australia. 09 - 13 Jun 2014. 6 pp .

Botsinis, Panagiotis, Ng, Soon Xin and Hanzo, Lajos (2014) Low-complexity iterative quantum multi-user detection in SDMA systems. IEEE International Conference on Communications, Sydney, Australia. 09 - 13 Jun 2014. 6 pp . (In Press)

Dong, Chen, Zuo, Jing, Yang, Lie-Liang, Huo, Yongkai, Ng, Soon and Hanzo, L. (2014) Energy-efficient buffer-aided relaying relying on non-linear channel probability space division. Wireless Communications and Networking Conference (WCNC2014), Istanbul. 05 - 08 Apr 2014. pp. 1-6 .

Liang, Wei, Ng, S.X., Feng, Jiao and Hanzo, Lajos (2014) Pragmatic distributed algorithm for spectral access in cooperative cognitive radio networks. IEEE Transactions on Communications, 62 (4), 1188-1200, [6775011]. (doi:10.1109/TCOMM.2014.030214.130326).

El-Hajjar, Mohammed, Nguyen, Quoc, Maunder, Robert G. and Ng, Soon Xin (2014) Demonstrating the Practical Challenges of Wireless Communications Using USRP. IEEE Communications Magazine, 52 (5), 194-201. (doi:10.1109/MCOM.2014.6815912).

Dong, Chen, Zuo, Jing, Yang, Lie-Liang, Huo, Yongkai, Ng, Soon and Hanzo, L. (2014) On Buffer-Assisted Opportunistic Routing Relying on Linear Transmission Activation Probability Space Partitioning for Relay-Aided Networks. VTC2014fall: 2014 IEEE 80th Vehicular Technology Conference, Vancouver, Canada. 13 - 16 Sep 2014.

Botsinis, Panagiotis, Alanis, Dimitrios, Ng, Soon Xin and Hanzo, Lajos (2014) Low-complexity soft-output quantum-assisted multi-user detection for direct-sequence spreading and slow subcarrier-hopping aided SDMA-OFDM systems. IEEE Access, 2, 451-472. (doi:10.1109/ACCESS.2014.2322013).

Alanis, Dimitrios, Botsinis, Panagiotis, Ng, Soon Xin and Hanzo, Lajos (2014) Quantum-assisted routing optimization for self-organizing networks. IEEE Access, 2, 614-632. (doi:10.1109/ACCESS.2014.2327596).

Feng, Jiao, Liang, Wei, Zhang, Rong, Ng, Soon Xin and Hanzo, Lajos (2015) Reciprocal primary secondary user pairing aided distributed ’win win’ cooperative medium access and its stability analysis. IEEE Transactions on Vehicular Technology. (Submitted)

Nguyen, Hung, Babar, Zunaira and Ng, Soon Xin et al. (2014) Network coded MIMO aided cooperative communications in the ambulance-and-emergency area. Mobile and Wireless Networking (MoWNet) 2014, Rome, Italy. 07 Sep 2014. 9 pp .

Sun, Hua, Ng, Soon Xin and Hanzo, Lajos (2014) Turbo trellis-coded hierarchical modulation assisted decode-and-forward cooperation. IEEE Transactions on Vehicular Technology. (doi:10.1109/TVT.2014.2366689).

Ng, Soon Xin, Li, Yonghui, Vucetic, Branka and Hanzo, Lajos (2015) Distributed irregular codes relying on decode-and-forward relays as code components. IEEE Transactions on Vehicular Technology, 64 (10), 4579-4588. (doi:10.1109/TVT.2014.2370737).

Sun, Hua, Ng, Soon Xin, Dong, Chen and Hanzo, Lajos (2015) Decode-and-forward cooperation-aided triple-layer turbo-trellis-coded hierarchical modulation. IEEE Transactions on Communications, 63 (4), 1-30. (doi:10.1109/TCOMM.2014.2387164).

Babar, Zunaira, Botsinis, Panagiotis, Alanis, Dimitrios, Ng, Soon Xin and Hanzo, L. (2015) The road from classical to quantum codes: a hashing bound approaching design procedure. IEEE Access, 3, 1-31. (doi:10.1109/ACCESS.2015.2405533).

Liang, W., Nguyen, H. V., Ng, S. X. and Hanzo, L. (2016) Adaptive TTCM aided near-instantaneously adaptive dynamic network coding for cooperative cognitive radio networks. IEEE Transactions on Vehicular Technology, 65 (3), 1314-1325. (doi:10.1109/TVT.2015.2412039).

Botsinis, Panagiotis, Alanis, Dimitrios, Babar, Zunaira, Ng, Soon Xin and Hanzo, Lajos (2015) Non-coherent quantum multiple symbol differential detection for wireless systems. IEEE Access, 1-31. (doi:10.1109/ACCESS.2015.2432015).

Babar, Zunaira, Ng, Soon Xin and Hanzo, Lajos (2014) EXIT-chart aided code design for symbol-based entanglement-assisted classical communication over quantum channels. IEEE Vehicular Technology Conference (VTC Fall), Vancouver, Canada. 13 - 16 Sep 2014. 5 pp . (doi:10.1109/VTCFall.2014.6965974).

Xu, Chao, Zuo, Xin, Ng, Soon Xin, Maunder, Robert G. and Hanzo, Lajos (2015) Reduced-Complexity Soft-Decision Multiple-Symbol Differential Sphere Detection. IEEE Transactions on Communications, 63 (9), 3275 - 3289.

Botsinis, Panagiotis, Alanis, Dimitrios, Babar, Zunaira, Ng, Soon Xin and Hanzo, Lajos (2015) Iterative quantum-assisted multi-user detection for multi-carrier interleave division multiple access systems. IEEE Transactions on Communications, 63 (10), 3713-3727. (doi:10.1109/TCOMM.2015.2458857).

Nguyen, H. V., Xu, C., Ng, S. X. and Hanzo, L. (2015) Near-capacity wireless system design principles. IEEE Communications Surveys & Tutorials, 17 (4), 1806-1833. (doi:10.1109/COMST.2015.2464300).

Zuo, Jing, Dong, Chen, Ng, Soon Xin, Yang, Lie Liang and Hanzo, Lajos (2015) Cross-layer aided energy-efficient routing design for ad hoc networks. IEEE Communications Surveys & Tutorials, 17 (3), 1214-1238. (doi:10.1109/COMST.2015.2395378).

Alanis, Dimitrios, Botsinis, Panagiotis, Babar, Zunaira, Ng, Soon and Hanzo, Lajos (2015) Non-dominated quantum iterative routing optimization for wireless multihop networks. IEEE Access, 3, 1-25. (doi:10.1109/ACCESS.2015.2478793).

Dong, Chen, Yang, Lie Liang, Zuo, Jing, Ng, Soon Xin and Hanzo, Lajos (2015) Energy, delay, and outage analysis of a buffer-aided three-node network relying on opportunistic routing. IEEE Transactions on Communications, 63 (3), 667-682. (doi:10.1109/TCOMM.2015.2396512).

Sun, Hua, Ng, Soon Xin and Hanzo, Lajos (2016) Discrete-input continuous-output memoryless channel capacity of cooperative hierarchical modulation. IET Communications, 10 (1), 65-71. (doi:10.1049/iet-com.2015.0359).

Babar, Zunaira, Botsinis, Panagiotis, Ng, Soon Xin, Alanis, Dimitrios and Hanzo, Lajos (2015) Construction of quantum LDPC codes from classical row-circulant QC-LDPCs. IEEE Communications Letters, 1-5. (doi:10.1109/LCOMM.2015.2494020). (In Press)

Babar, Zunaira, Botsinis, Panagiotis, Alanis, Dimitrios, Ng, Soon Xin and Hanzo, Lajos (2015) Fifteen years of quantum LDPC coding and improved decoding strategies. IEEE Access, 3, 2492-2519. (doi:10.1109/ACCESS.2015.2503267).

Sun, Hua, Dong, Chen, Ng, Soon Xin and Hanzo, Lajos (2015) Five decades of hierarchical modulation and its benefits in relay-aided networking. IEEE Access, 3, 2891-2921. (doi:10.1109/ACCESS.2015.2510702).

Xu, Chao, Ng, Soon and Hanzo, Lajos (2015) Multiple-symbol differential sphere detection and decision-feedback differential detection conceived for differential QAM. IEEE Transactions on Vehicular Technology, 65 (10), 8345 - 8360. (doi:10.1109/TVT.2015.2512179).

Botsinis, Panagiotis, Babar, Zunaira, Alanis, Dimitrios, Nguyen, Hung, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2016) Research Data: Quantum Error Correction Protects Quantum Search Algorithms Against Decoherence. University of Southampton doi:10.5258/SOTON/396360 [Dataset]

Botsinis, Panagiotis, Alanis, Dimitrios, Babar, Zunaira, Ng, Soon and Hanzo, Lajos (2016) Research Data: Joint Quantum-Assisted Channel Estimation and Data Detection. University of Southampton doi:10.5258/SOTON/396549 [Dataset]

Xu, Chao, Wang, Li, Ng, Soon Xin and Hanzo, Lajos (2016) Soft-decision multiple-symbol differential sphere detection and decision-feedback differential detection for differential QAM dispensing with channel estimation in the face of rapidly fading channels. IEEE Transactions on Wireless Communications, 15 (6), 4408-4425. (doi:10.1109/TWC.2016.2541665).

Babar, Zunaira, Nguyen, Hung, Botsinis, Panagiotis, Alanis, Dimitrios, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2016) Research Data: Serially Concatenated Unity-Rate Codes Improve Quantum Codes Without Coding-Rate Reduction. University of Southampton doi:10.5258/SOTON/398673 [Dataset]

Botsinis, Panagiotis, Alanis, Dimitrios, Babar, Zunaira, Nguyen, Hung, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2016) Research Data: Quantum-aided Multi-User Transmission in Non-Orthogonal Multiple Access Systems. University of Southampton doi:10.5258/SOTON/399147 [Dataset]

Botsinis, P., Alanis, D., Babar, Z., Nguyen, H. V., Chandra, D., Ng, S. X. and Hanzo, L. (2016) Quantum-aided multi-user transmission in non-orthogonal multiple access systems. IEEE Access, 4, 7402-7424. (doi:10.1109/ACCESS.2016.2591904).

Botsinis, Panagiotis, Alanis, Dimitrios, Babar, Zunaira, Ng, Soon Xin and Hanzo, Lajos (2016) Joint quantum-assisted channel estimation and data detection. IEEE Access, 1-23. (doi:10.1109/ACCESS.2016.2591903).

Babar, Z., Nguyen, H. V., Botsinis, P., Alanis, D., Chandra, D., Ng, S. X., Maunder, R. G. and Hanzo, L. (2016) Fully-parallel quantum turbo decoder. IEEE Access, 4, 6073-6085. (doi:10.1109/ACCESS.2016.2581978).

Babar, Zunaira, Nguyen, Hung, Botsinis, Panagiotis, Alanis, Dimitrios, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2016) Dataset for Unity-Rate Codes Maximize the Normalized Throughput of On-Off Keying Visible Light Communication. University of Southampton doi:10.5258/SOTON/402170 [Dataset]

Feng, Jiao, Liang, Wei, Ng, Soon Xin and Hanzo, Lajos (2016) Distributed reciprocal-selection-based 'win-win' cooperative medium access and its stability analysis. IEEE Access, 4, 7703-7715. (doi:10.1109/ACCESS.2016.2602398).

Babar, Z., Nguyen, H. V., Botsinis, P., Alanis, D., Chandra, D., Ng, S. X. and Hanzo, L. (2016) Serially concatenated unity-rate codes improve quantum codes without coding-rate reduction. IEEE Communications Letters, 20 (10), 1916-1919. (doi:10.1109/LCOMM.2016.2593874).

Babar, Z., Nguyen, H. V., Botsinis, P., Alanis, D., Chandra, D., Ng, S. X. and Hanzo, L. (2017) Unity-rate codes maximize the normalized throughput of on–off keying visible light communication. IEEE Photonics Technology Letters, 29 (3), 291-294. (doi:10.1109/LPT.2016.2625808).

Alanis, Dimitrios, Hu, Jie, Botsinis, Panagiotis, Babar, Zunaira, Ng, Soon and Hanzo, Lajos (2016) Research Data: Quantum-Assisted Joint Multi-Objective Routing and Load Balancing for Socially-Aware Networks. University of Southampton doi:10.5258/SOTON/403120 [Dataset]

Nguyen, H. V., Babar, Z. and Alanis, D. et al. (2016) EXIT-chart aided quantum code design improves the normalised throughput of realistic quantum devices. IEEE Access, 4, 10194-10209. (doi:10.1109/ACCESS.2016.2591910).

Nguyen, Hung, Babar, Zunaira, Alanis, Dimitrios, Botsinis, Panagiotis, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2016) Research Data: EXIT-chart Aided Quantum Code Design Improves the Normalised Throughput of Realistic Quantum Devices. University of Southampton doi:10.5258/SOTON/403518 [Dataset]

Botsinis, Panagiotis, Babar, Zunaira, Alanis, Dimitrios, Chandra, Daryus, Nguyen, Hung, Ng, Soon Xin and Hanzo, Lajos (2016) Quantum error correction protects quantum search algorithms against decoherence. Scientific Reports, 6 (38095), 1-13. (doi:10.1038/srep38095).

Aljohani, Abdulah, Babar, Zunaira, Ng, Michael and Hanzo, Lajos (2016) Distributed source-channel coding using reduced-complexity syndrome-based TTCM. IEEE Communications Letters, 20 (10), 2095-2098. (doi:10.1109/LCOMM.2016.2584598).

Trinh, Phuc, Pham, Thanh, Nguyen, Hung, Ng, Soon Xin and Pham, Anh (2017) Performance of free-space QKD systems using SIM/BPSK and dual-threshold/direct-detection. IEEE Globecom 2016, Washington, United States. 04 - 08 Dec 2016. 6 pp . (doi:10.1109/GLOCOMW.2016.7848999).

Ali, Bakhtiar, Zamir, Nida, Butt, Muhammad Fasih Uddin and Ng, Soon Xin (2016) Physical layer security: friendly Jamming in an untrusted relay scenario. 24th European Signal Processing Conference (EUSIPCO 2016), Budapest, Hungary. 28 Aug - 01 Sep 2016. 5 pp . (doi:10.1109/EUSIPCO.2016.7760390).

Zamir, Nida, Ali, Bakhtiar, Butt, Mohammad Fasih Uddin and Ng, Soon Xin (2016) Improving secrecy rate via cooperative jamming based on Nash Equilibrium. 24th European Signal Processing Conference (EUSIPCO 2016), Budapest, Hungary. 28 Aug - 01 Sep 2016. 5 pp . (doi:10.1109/EUSIPCO.2016.7760245).

Liang, Wei, Ng, Soon and Hanzo, Lajos (2017) Cooperative overlay spectrum access in cognitive radio networks. IEEE Communications Surveys & Tutorials, 19 (3), 1924-1944. (doi:10.1109/COMST.2017.2690866).

Babar, Zunaira (2017) Research Data: Reduced-Complexity Iterative Receiver for Improving the IEEE 802.15.7 Convolutional-Coded Color Shift Keying Mode. University of Southampton doi:10.5258/SOTON/D0088 [Dataset]

Alanis, Dimitrios, Hu, Jie, Botsinis, Panagiotis, Babar, Zunaira, Ng, Soon and Hanzo, Lajos (2017) Quantum-assisted joint multi-objective routing and load balancing for socially-aware networks. IEEE Access, 4, 9993 - 10028. (doi:10.1109/ACCESS.2016.2629671).

Chandra, Daryus, Babar, Zunaira, Nguyen, Hung, Alanis, Dimitrios, Botsinis, Panagiotis, Ng, Soon and Hanzo, Lajos (2017) Research Data: Quantum Coding Bounds and a Closed-Form Approximation of the Minimum Distance Versus Quantum Coding Rate. University of Southampton doi:10.5258/SOTON/D0131 [Dataset]

Chandra, Daryus, Babar, Zunaira, Nguyen, Hung, Alanis, Dimitrios, Botsinis, Panagiotis, Ng, Soon and Hanzo, Lajos (2017) Quantum coding bounds and a closed-form approximation of the minimum distance versus quantum coding rate. IEEE Access, 5, 11557-11581. (doi:10.1109/ACCESS.2017.2716367).

Liang, W., Nguyen, H. V., Ng, S. X. and Hanzo, L. (2015) Network coding aided cooperative cognitive radio for uplink transmission. In 2015 IEEE Global Communications Conference (GLOBECOM). pp. 1-6 . (doi:10.1109/GLOCOM.2015.7416958).

Babar, Z., Zhu, C., Nguyen, H., Botsinis, P., Alanis, D., Chandra, D., Ng, S. and Hanzo, L. (2017) Reduced-complexity iterative receiver for improving the IEEE 802.15.7 convolutional-coded color shift keying mode. IEEE Communications Letters, 21 (9), 2005-2008. (doi:10.1109/LCOMM.2017.2705707).

Nguyen, H., Trinh, P., Pham, A., Babar, Z., Alanis, D., Botsinis, P., Chandra, D., Ng, S. X. and Hanzo, L. (2017) Network coding aided cooperative quantum key distribution over free-space optical channels. IEEE Access, 05, 12301-12317. (doi:10.1109/ACCESS.2017.2712288).

Nguyen, Hung, Ng, Soon and Hanzo, Lajos (2017) Research Data: Network Coding Aided Cooperative Quantum Key Distribution Over Free-Space Optical Channels. University of Southampton doi:10.5258/SOTON/D0151 [Dataset]

Ahmed Madni, Haji Muhammad Furqan, Butt, Muhammad Fasih, Zamir, Nida and Ng, Soon (2017) Relay node selection and power allocation for distributed self-concatenated convolutional codes. (doi:10.1109/WCNC.2017.7925647).

Botsinis, Panagiotis, HUO, YONGKAI, Alanis, Dimitrios, Babar, Zunaira, Ng, Soon and Hanzo, Lajos (2017) Research Data: Quantum Search-Aided Multi-User Detection of IDMA-Assisted Multi-Layered Video Streaming. University of Southampton doi:10.5258/SOTON/D0186 [Dataset]

Nguyen, Hung, Alanis, Dimitrios, Botsinis, Panagiotis, Chandra, Daryus and Hanzo, Lajos (2017) Research Data: Towards the Quantum Internet: Generalised Quantum Network Coding for Large-scale Quantum Communication Networks. University of Southampton doi:10.5258/SOTON/D0213 [Dataset]

Botsinis, Panagiotis, Alanis, Dimitrios, Feng, Simeng, Babar, Zunaira, Nguyen, Hung, Chandra, Daryus, Ng, Soon Xin, Zhang, Rong and Hanzo, Lajos (2017) Quantum-assisted indoor localization for uplink mm-wave and downlink visible light communication systems. IEEE Access, 5 (1), 23327-23351. (doi:10.1109/ACCESS.2017.2733557).

Nguyen, Hung Viet, Babar, Zunaira, Alanis, Dimitrios, Botsinis, Panagiotis, Chandra, Daryus, Izhar, Azri, Ng, Soon and Hanzo, Lajos (2017) Towards the quantum internet: Generalised quantum network coding for large-scale quantum communication networks. IEEE Access. (doi:10.1109/ACCESS.2017.2738781).

Xu, Chao, Sugiura, Shinya, Ng, Soon, Zhang, Peichang, Wang, Li and Hanzo, Lajos (2017) Two decades of MIMO design tradeoffs and reduced-complexity MIMO detection in near-capacity systems. IEEE Access. (doi:10.1109/ACCESS.2017.2707182).

Botsinis, Panagiotis, Hemadeh, Ibrahim, Alanis, Dimitrios, Babar, Zunaira, Nguyen, Hung Viet, Chandra, Daryus, Ng, Soon, El-Hajjar, Mohammed and Hanzo, Lajos (2017) Research Data: Joint-Alphabet Space Time Shift Keying in mm-Wave Non-Orthogonal Multiple Access. University of Southampton doi:10.5258/SOTON/D0241 [Dataset]

Botsinis, Panagiotis, Alanis, Dimitrios, Feng, Simeng, Babar, Zunaira, Nguyen, Hung Viet, Chandra, Daryus, Ng, Soon, Zhang, Rong and Hanzo, Lajos (2017) Research Data: Quantum-Assisted Indoor Localization for Uplink mm-Wave and Downlink Visible Light Communication systems. University of Southampton doi:10.5258/SOTON/D0242 [Dataset]

Xu, Chao, Wang, Li, Ng, Soon and Hanzo, Lajos (2016) Research Data: Soft-Decision Multiple-Symbol Differential Sphere Detection and Decision-Feedback Differential Detection for Differential QAM Dispensing with Channel Estimation in the Face of Rapidly-Fading Channels. University of Southampton [Dataset]

Xu, Chao, Ng, Soon and Hanzo, Lajos (2015) Research Data: Multiple-Symbol Differential Sphere Detection and Decision-Feedback Differential Detection Conceived for Differential QAM. University of Southampton doi:10.5258/SOTON/414207 [Dataset]

Xu, Chao, ZUO, XIN, Ng, Soon, Maunder, Robert and Hanzo, Lajos (2015) Research Data: Reduced-Complexity Soft-Decision Multiple-Symbol Differential Sphere Detection. University of Southampton [Dataset]

Xu, Chao, Sugiura, Shinya, Ng, Soon, Zhang, Peichang, Wang, Li and Hanzo, Lajos (2017) Research Data: Two Decades of MIMO Design Tradeoffs and Reduced-Complexity MIMO Detection in Near-Capacity Systems. University of Southampton doi:10.5258/SOTON/D0254 [Dataset]

Babar, Zunaira (2017) Research Data: Unary-Coded Dimming Control Improves ON-OFF Keying Visible Light Communication. University of Southampton doi:10.5258/SOTON/D0269 [Dataset]

Babar, Zunaira, Izhar, Azri, Nguyen, Hung Viet, Botsinis, Panagiotis, Alanis, Dimitrios, Chandra, Daryus, Ng, Soon Xin, Maunder, Robert G. and Hanzo, Lajos (2017) Unary-coded dimming control improves ON-OFF keying visible light communication. IEEE Transactions on Communications. (doi:10.1109/TCOMM.2017.2759271).

Botsinis, Panagiotis, Huo, Yongkai, Alanis, Dimitrios, Babar, Zunaira, Ng, Soon and Hanzo, Lajos (2017) Quantum search-aided multi-user detection of IDMA-assisted multi-layered video streaming. IEEE Access, 5 (1), 23233-23255. (doi:10.1109/ACCESS.2017.2732358).

Izhar, Azri, Babar, Zunaira, Ng, Soon Xin and Hanzo, Lajos (2017) Research Data: Entanglement-Assisted Classical Communication Over Quantum Channels for Binary Markov Sources. University of Southampton doi:10.5258/SOTON/D0342 [Dataset]

Chandra, Daryus, Babar, Zunaira, Nguyen, Hung Viet, Alanis, Dimitrios, Botsinis, Panagiotis, Ng, Soon and Hanzo, Lajos (2017) Research Data: Quantum Topological Error Correction Codes: The Classical-to-Quantum Isomorphism Perspective. University of Southampton doi:10.5258/SOTON/D0349 [Dataset]

Chandra, Daryus, Babar, Zunaira, Nguyen, Hung Viet, Alanis, Dimitrios, Botsinis, Panagiotis, Ng, Soon and Hanzo, Lajos (2017) Quantum topological error correction codes: The classical-to-quantum isomorphism perspective. IEEE Access. (doi:10.1109/ACCESS.2017.2784417).

Izhar, Azri, Babar, Zunaira, Ng, Soon and Hanzo, Lajos (2017) Entanglement-assisted classical communication over quantum channels for binary Markov sources. IEEE Transactions on Vehicular Technology. (doi:10.1109/TVT.2017.2778192).

Izhar, Azri, Aljohani, Abdulah Jeza, Ng, Soon and Hanzo, Lajos (2017) Distributed joint source coding and trellis coded modulation for symbol-based Markov sources. IEEE Transactions on Vehicular Technology. (doi:10.1109/TVT.2017.2787548). (In Press)

Alanis, Dimitrios, Botsinis, Panagiotis, Babar, Zunaira, Nguyen, Hung Viet, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2018) A quantum-search-aided dynamic programming framework for Pareto optimal routing in wireless multihop networks. IEEE Transactions on Communications, 1-16. (doi:10.1109/TCOMM.2018.2803068).

Izhar, Azri, Aljohani, Abdulah Jeza, Ng, Soon Xin and Hanzo, Lajos (2017) Research Data: Distributed Joint Source Coding and Trellis Coded Modulation for Symbol-Based Markov Sources. University of Southampton doi:10.5258/SOTON/D0374 [Dataset]

Alanis, Dimitrios, Botsinis, Panagiotis, Babar, Zunaira, Nguyen, Hung Viet, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2018) Research Data: A Quantum-Search-Aided Dynamic Programming Framework for Pareto Optimal Routing in Wireless Multihop Networks. University of Southampton doi:10.5258/SOTON/D0402 [Dataset]

Izhar, Mohd Azri Mohd, Aljohani, Abdullah Jeza, Ng, Soon and Hanzo, Lajos (2018) Joint decoding and estimation of spatio-temporally correlated binary sources. IEEE Transactions on Vehicular Technology. (In Press)

Trinh, Phuc V., Pham, Thanh V., Dang, Ngoc T., Nguyen, Hung Viet, Ng, Soon Xin and Phama, Anh T. (2018) Design and security analysis of quantum key distribution protocol over free-space optics using dual-threshold direct-detection receiver. IEEE Access. (doi:10.1109/ACCESS.2018.2800291).

Izhar, Mohd Azri Mohd, Babar, Zunaira, Nguyen, Hung Viet, Botsinis, Panagiotis, Alanis, Dimitrios, Chandra, Daryus, Ng, Soon Xin and Hanzo, Lajos (2018) Quantum turbo decoding for quantum channels exhibiting memory. IEEE Access, 1-16. (doi:10.1109/ACCESS.2018.2808373).

Nguyen, Hung Viet, Ng, Soon Xin, Liang, Wei, Xiao, Pei and Hanzo, Lajos (2018) A network-coding aided road-map of large-scale near-capacity cooperative communications. IEEE Access. (doi:10.1109/ACCESS.2018.2814636).

Alanis, Dimitrios, Botsinis, Panagiotis, Babar, Zunaira, Nguyen, Hung Viet, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2018) Quantum-aided multi-objective routing optimization using back-tracing-aided dynamic programming. IEEE Transactions on Vehicular Technology, 1-5. (doi:10.1109/TVT.2018.2822626).

Alanis, Dimitrios, Botsinis, Panagiotis, Babar, Zunaira, Nguyen, Hung Viet, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2018) Research Data: Quantum-Aided Multi-Objective Routing Optimization Using Back-Tracing-Aided Dynamic Programming. University of Southampton doi:10.5258/SOTON/D0479 [Dataset]

Ali, Bakhtiar, Zamir, Nida, Ng, Soon Xin and Butt, Muhammad Fasih Uddin (2018) Distributed matching algorithms for spectrum access: a comparative study and further enhancements. KSII Transactions on Internet and Information Systems, 12 (4), 1594-1617. (doi:10.3837/tiis.2018.04.011).

Babar, Zunaira, Chandra, Daryus, Nguyen, Hung Viet, Botsinis, Panagiotis, Alanis, Dimitrios, Ng, Soon and Hanzo, Lajos (2018) Duality of quantum and classical error correction codes: Design principles and examples. IEEE Communications Surveys & Tutorials. (doi:10.1109/COMST.2018.2861361).

Babar, Zunaira, Chandra, Daryus, Nguyen, Hung Viet, Botsinis, Panagiotis, Alanis, Dimitrios, Ng, Soon and Hanzo, Lajos (2018) Research Data: Duality of Quantum and Classical Error Correction Codes: Design Principles and Examples. University of Southampton doi:10.5258/SOTON/D0616 [Dataset]

Hosseinidehaj, Nedasadat, Babar, Zunaira, Malaney, Robert, Ng, Soon and Hanzo, Lajos (2018) Satellite-based continuous-variable quantum communications: state-of-the-art and a predictive outlook. IEEE Communications Surveys & Tutorials, 1-41. (doi:10.1109/COMST.2018.2864557).

Botsinis, Panagiotis, Alanis, Dimitrios, Xu, Chao, Babar, Zunaira, Chandra, Daryus, Ng, Soon Xin and Hanzo, Lajos (2018) Air-to-ground NOMA systems for the “Internet-Above-the-Clouds”. IEEE Access. (doi:10.1109/ACCESS.2018.2867093).

Botsinis, Panagiotis, Alanis, Dimitrios, Babar, Zunaira, Nguyen, Hung Viet, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2018) Research Data: Air-to-ground NOMA Systems for the “Internet-Above-the-Clouds”. University of Southampton doi:10.5258/SOTON/D0640 [Dataset]

Botsinis, Panagiotis, Alanis, Dimitrios, Babar, Zunaira, Nguyen, Hung Viet, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2018) Quantum search algorithms for wireless communications. IEEE Communications Surveys & Tutorials. (doi:10.1109/COMST.2018.2882385).

Babar, Zunaira, Zhang, Xiaoyu, Botsinis, Panagiotis, Alanis, Dimitrios, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2019) Research Data - Near-Capacity Multi-Layered Code Design for LACO-OFDM-Aided Optical Wireless Systems. University of Southampton doi:10.5258/SOTON/D0795 [Dataset]

Zamir, Nida, Butt, Muhammad Fasih Uddin, Babar, Zunaira and Ng, Soon Xin (2018) Secure quantum turbo coded superdense coding scheme. In 2018 IEEE 29th Annual International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC). vol. 2018-September, IEEE. pp. 1-5 . (doi:10.1109/PIMRC.2018.8580740).

Babar, Zunaira, Zhang, Xiaoyu, Botsinis, Panagiotis, Alanis, Dimitrios, Chandra, Daryus, Ng, Soon Xin and Hanzo, Lajos (2019) Near-capacity multilayered code design for LACO-OFDM-aided optical wireless systems. IEEE Transactions on Vehicular Technology, 68 (4), 4051-4054. (doi:10.1109/TVT.2019.2896764).

Ai, Xiaoyu, Malaney, Robert and Ng, Soon Xin (2019) Quantum key reconciliation for satellite-based communications. In 2018 IEEE Global Communications Conference, GLOBECOM 2018 - Proceedings. Institute of Electrical and Electronics Engineers Inc.. (doi:10.1109/GLOCOM.2018.8647658).

Chandra, Daryus, Babar, Zunaira, Ng, Soon and Hanzo, Lajos (2019) Research Data: Near-Hashing-Bound Multiple-Rate Quantum Turbo Short-Block Codes. University of Southampton doi:10.5258/SOTON/D0883 [Dataset]

Chandra, Daryus, Babar, Zunaira, Ng, Soon and Hanzo, Lajos (2019) Near-hashing-bound multiple-rate quantum turbo short-block codes. IEEE Access, 7, 52712-52730. (doi:10.1109/ACCESS.2019.2911515).

Chandra, Daryus, Babar, Zunaira, Nguyen, Hung Viet, Alanis, Dimitrios, Botsinis, Panagiotis, Ng, Soon and Hanzo, Lajos (2019) Research Data: Quantum Topological Error Correction Codes Are Capable of Improving the Performance of Clifford Gates. University of Southampton doi:10.5258/SOTON/D1051 [Dataset]

Babar, Zunaira, Kaykac Egilmez, Zeynep Burcin, Xiang, Luping, Chandra, Daryus, Maunder, Robert, Ng, Soon and Hanzo, Lajos (2019) Polar codes and their quantum-domain counterparts. IEEE Communications Surveys & Tutorials, 1-35. (doi:10.1109/COMST.2019.2937923).

Chandra, Daryus, Babar, Zunaira, Nguyen, Hung Viet, Alanis, Dimitrios, Botsinis, Panagiotis, Ng, Soon and Hanzo, Lajos (2019) Quantum topological error correction codes are capable of improving the performance of Clifford gates. IEEE Access. (doi:10.1109/ACCESS.2019.2936795).

Shaheen, Farzana, Butt, Muhammad Fasih Uddin, Agha, Shahrukh, Ng, Soon and Maunder, Robert (2019) Performance analysis of high throughput MAP decoder for turbo codes and self concatenated convolutional codes. IEEE Access. (doi:10.1109/ACCESS.2019.2942152).

Pan, Dong, Ng, Soon, Ruan, Dong, Yin, Liuguo, Long, Guilu and Hanzo, Lajos (2020) Simultaneous two-way classical communication and measurement-device-independent quantum key distribution with coherent states. Physical Review A, 101 (1), [012343]. (doi:10.1103/PhysRevA.101.012343).

Chen, Sheng, Ng, Soon, Khalaf, Emad, Morfeq, Ali and Alotaibi, Naif (2020) Multiuser detection for nonlinear MIMO uplink. IEEE Transactions on Communications, 68 (1), 207-219, [8886598]. (doi:10.1109/TCOMM.2019.2949991).

Ng, Soon, Conti, Andrea, Long, Gui-Lu, Muller, Peter, Sayeed, Akbar M., Yuan, Jinhong and Hanzo, Lajos (2020) Advances in quantum communications, computing, cryptography and sensing. IEEE Journal on Selected Areas in Communications. (In Press)

Xing, Chengwen, Zhao, Xin, Wang, Shuai, Xu, Wei, Ng, Soon and Chen, Sheng (2020) Hybrid transceiver optimization for multi-hop communications. IEEE Journal on Selected Areas in Communications, 38 (8), 1880-1895, [9112292]. (doi:10.1109/JSAC.2020.3000808).

Cane, Rosie, Chandra, Daryus, Ng, Soon and Hanzo, Lajos (2020) Mitigation of decoherence-induced quantum-bit errors and quantum-gate errors using Steane's code. IEEE Access, 8, 83693-83709, [9083978]. (doi:10.1109/ACCESS.2020.2991802).

Cane, Rosie and Ng, Soon (2020) Turbo-coded secure and reliable quantum teleportation. IET Quantum Communication. (doi:10.1049/iet-qtc.2020.0004).

Pan, Dong, Li, Keren, Ruan, Dong, Ng, Soon and Hanzo, Lajos (2020) Single-photon-memory two-step quantum secure direct communication relying on Einstein-Podolsky-Rosen pairs. IEEE Access, 8, 121146-121161, [9129730]. (doi:10.1109/ACCESS.2020.3006136).

Zhang, Jiankang, Chen, Sheng, Wang, Fasong, Ng, Soon Xin, Maunder, Robert and Hanzo, Lajos (2021) Priority-aware secure precoding based on multi-objective symbol error ratio optimization. IEEE Transactions on Communications, 69 (3), 1912-1929, [9311648]. (doi:10.1109/TCOMM.2020.3048351).

Chen, Yun, Xiong, Yifeng, Chen, Da, Jiang, Tao, Ng, Soon Xin and Hanzo, Lajos (2020) Hybrid precoding for wide band millimeter wave MIMO systems in the face of beam squint. IEEE Transactions on Wireless Communications. (doi:10.1109/TWC.2020.3036945).

Xiong, Yifeng, Chandra, Daryus, Ng, Soon Xin and Hanzo, Lajos (2020) Sampling overhead analysis of quantum error mitigation: uncoded vs. coded systems. IEEE Access, 8, 228967-228991. (doi:10.1109/ACCESS.2020.3045016).

Cane, Rosie, Chandra, Daryus, Ng, Soon Xin and Hanzo, Lajos (2020) Gate-error-resilient quantum Steane codes. IEEE Access, 179346 - 179362. (doi:10.1109/ACCESS.2020.3027638).

Xu, Chao, Xiong, Yifeng, Ishikawa, Naoki, Mysore rajashekar, Rakshith, Sugiura, Shinya, Zhaocheng, Wang, Ng, Soon Xin, Yang, Lie-Liang and Hanzo, Lajos (2021) Space-, time- and frequency-domain index modulation for next-generation wireless: a unified single-/multi-carrier and single-/multi-RF MIMO framework. IEEE Transactions on Wireless Communications, 20 (6), 3847-3864, [9343726]. (doi:10.1109/TWC.2021.3054068).

Botsinis, P., Hemadeh, I., Alanis, D., Babar, Z., Nguyen, H.V., Chandra, D., Ng, S.X., El-Hajjar, M. and Hanzo, L. (2017) Joint-alphabet space time shift keying in mm-wave non-orthogonal multiple access. IEEE Access, 22602-22621. (doi:10.1109/ACCESS.2017.2736978).

Liang, Wei, Ng, Soon Xin, Shi, Jia, Li, Lixin and Wang, Dawei (2020) Energy efficient transmission in underlay CR-NOMA networks enabled by reinforcement learning. China Communications, 17 (12), 66 - 79. (doi:10.23919/JCC.2020.12.005).

Tan, Shuang, Wang, Jin, Ng, Soon Xin, Chen, Sheng and Hanzo, Lajos (2008) Three-stage turbo MBER Multiuser beamforming receiver using irregular convolutional codes. IEEE Transactions on Vehicular Technology, 57 (3), 1659-1663.

Riaz, R.A., El-Hajjar, Mohammed, Ahmed, Q.Z., Ng, Soon Xin, Chen, Sheng and Hanzo, Lajos (2008) EXIT chart aided design of DS-CDMA UltraWideBand systems using Iterative decoding. In VTC2008-Fall. pp. 1-5 .

Cui, Jingjing, Ng, Soon Xin, Liu, Dong, Zhang, Jiankang, Nallanathan, Arumugam and Hanzo, Lajos (2021) Multi-objective optimization for integrated ground-air-space networks. IEEE Vehicular Technology Magazine. (In Press)

Chen, Sheng, Ng, Soon Xin, Khalaf, Emad, Morfeq, Ali and Alotaibi, Naif (2021) Particle Swarm Optimization Assisted B-spline Neural Network Based Predistorter Design to Enable Transmit Precoding for Nonlinear MIMO Downlink. Neurocomputing, 458, 336-348.

Gao, Ang, Du, Chengyuan, Ng, Soon Xin and Liang, Wei (2021) A cooperative spectrum sensing with multi-agent reinforcement learning approach in cognitive radio networks. IEEE Communications Letters, 25 (8), 2604-2608, [9426930]. (doi:10.1109/LCOMM.2021.3078442).

Cui, Jingjing, Liu, Dong, Zhang, Jiankang, Yetgin, Halil, Ng, Soon Xin, Maunder, Robert and Hanzo, Lajos (2021) Minimum-delay routing for integrated aeronautical ad hoc networks relying on real flight data in the North-Atlantic region. IEEE Open Journal of Vehicular Technology. (doi:10.1109/OJVT.2021.3089543). (In Press)

Cui, Jingjing, Yetgin, Halil, Liu, Dong, Zhang, Jiankang, Ng, Soon Xin and Hanzo, Lajos (2021) Twin-component near-Pareto routing optimization for AANETs in the North-Atlantic region relying on real flight statistics. IEEE Open Journal of Vehicular Technology. (In Press)

Zhang, Jiankang, Xiang, Luping, Liu, Dong, Cui, Jingjing, Ng, Soon Xin, Maunder, Robert, Graeupl, Thomas, Uwe, Carsten-Fiebig and Hanzo, Lajos (2021) Semi-stochastic aircraft mobility modelling for aeronautical networks: An Australian case-study based on real flight data. IEEE Transactions on Vehicular Technology, 70 (10), 10763-10779. (doi:10.1109/TVT.2021.3104118).

Liu, Dong, Zhang, Jiankang, Cui, Jingjing, Ng, Soon Xin, Maunder, Robert and Hanzo, Lajos (2021) Deep learning aided packet routing in aeronautical ad-hoc networks relying on real flight data: From single-objective to near-pareto multi-objective optimization. IEEE Internet of Things Journal. (doi:10.1109/JIOT.2021.3105357).

Zhang, Mingze, El-Hajjar, Mohammed and Ng, Soon Xin (2021) Intelligent Caching in UAV-Aided Networks. IEEE Transactions on Vehicular Technology. (doi:10.1109/TVT.2021.3125396).

Chen, Jue, Wang, Tsang Yi, Wu, Jwo Yuh, Li, Chih Peng, Ng, Soon Xin, Maunder, Robert and Hanzo, Lajos (2021) Factor graphs for support identification in compressive sensing aided wireless sensor networks. IEEE Sensors Journal, 21 (23), 27195-27207. (doi:10.1109/JSEN.2021.3123209).

Liu, Dong, Zhang, Jiankang, Cui, Jingjing, Ng, Soon Xin, Maunder, Robert and Hanzo, Lajos (2021) Deep learning aided routing for space-air-ground integrated networks relying on real satellite, flight, and shipping data. IEEE Wireless Communications.

Zhang, Mingze (2021) Intelligent Caching in UAV-Aided Networks. University of Southampton doi:10.5258/SOTON/D2028 [Dataset]

Xiong, Yifeng, Ng, Soon Xin and Hanzo, Lajos (2021) Quantum error mitigation relying on permutation filtering. IEEE Transactions on Communications. (doi:10.1109/TCOMM.2021.3132914).

Cane, Rosie, Chandra, Daryus, Ng, Soon Xin and Hanzo, Lajos (2021) Experimental characterization of fault-tolerant circuits in small-scale quantum processors. IEEE Access, 9, 162996-163011. (doi:10.1109/ACCESS.2021.3133483).

Cao, Yuan, Zhao, Yongli, Wang, Qin, Zhang, Jie, Ng, Soon Xin and Hanzo, Lajos (2022) The evolution of quantum key distribution networks: On the road to the Qinternet. IEEE Communications Surveys & Tutorials, 24 (2), 839-894. (doi:10.1109/COMST.2022.3144219).

Xiong, Yifeng, Ng, Soon Xin and Hanzo, Lajos (2022) The accuracy vs. sampling overhead trade-off in quantum error mitigation using Monte Carlo-based channel inversion. IEEE Transactions on Communications, 70 (3), 1943-1956. (doi:10.1109/TCOMM.2022.3144469).

Cui, Jingjing, Ng, Soon Xin, Liu, Dong, Zhang, Jiankang, Nallanathan, Arumugam and Hanzo, Lajos (2021) Multiobjective optimization for integrated ground-air-space networks: Current research and future challenges. IEEE Vehicular Technology Magazine, 16 (3), 88-98, [9461636]. (doi:10.1109/MVT.2021.3085511).

Gao, Ang, Liu, Hengtong, Hu, Yansu, Liang, Wei and Ng, Soon Xin (2022) Cooperative cache in cognitive radio networks: A heterogeneous multi-agent learning approach. IEEE Communications Letters. (doi:10.1109/LCOMM.2022.3151877).

Xiong, Yifeng, Ng, Soon Xin, Long, Gui-Lu and Hanzo, Lajos (2022) Dual-frequency quantum phase estimation mitigates the spectral leakage of quantum algorithms. IEEE Signal Processing Letters.

Cui, Jingjing, Xiong, Yifeng, Ng, Soon Xin and Hanzo, Lajos (2022) Quantum approximate optimization algorithm based maximum likelihood detection. IEEE Transactions on Communications. (In Press)

Ng, Soon Xin, Conti, Andrea, Long, Gui Lu, Muller, Peter, Sayeed, Akbar, Yuan, Jinhong and Hanzo, Lajos (2020) Guest editorial advances in quantum communications, computing, cryptography, and sensing. IEEE Journal on Selected Areas in Communications, 38 (3), 405-412, [9052788]. (doi:10.1109/JSAC.2020.2973529).

Zhang, Jiankang, Liu, Dong, Chen, Sheng, Ng, Soon Xin, Maunder, Rob and Hanzo, Lajos (2022) Multiple-objective packet routing optimization for aeronautical ad-hoc networks. IEEE Transactions on Vehicular Technology. (doi:10.1109/TVT.2022.3202689).

Contact

Share this profile FacebookTwitterWeibo

Contact

Share this profile FacebookTwitterWeibo

Publications

Subari, K.S., Shadle, C.H., Barney, A. and Damper, R.I. (2004) Comparison of fricative vocal tract transfer functions derived using two different segmentation techniques. International Conference on Signal Processing 2004, Istanbul, Turkey. 17 - 19 Dec 2004. 4 pp .

Damper, R.I., Tranchant, M.A. and Lewis, S.M. (1996) Speech versus keying in command and control: effect of concurrent tasking. International Journal of Human-Computer Studies, 45 (3), 337-348. (doi:10.1006/ijhc.1996.0055).

Chen, Y.Q., Damper, R.I. and Nixon, M.S. (1997) On neural network implementations of k-nearest neighbour pattern classifiers. IEEE Transactions on Circuits and Systems Part 1: Fundamental Theory and Applications, 44 (7), 622--629.

Damper, R. I., Gore, M. O. and Harnad, S. (1996) Acoustic and auditory representations of the voicing contrast. Journal of the Acoustical Society of America, 100 (4/2), 2682.

Adamson, M. J. and Damper, R. I. (1996) Recurrent networks for English text-to-phoneme conversion. Proceedings of the Institute of Acoustics, 18 (9), 27-34.

Damper, R. I., Garner, D., Jordan, G., Rahman, A. and Saunders, C. (1996) A barcode-scanner aid for visually-impaired people. 18th Annual International Conference of IEEE Engineering in Medicine and Biology Society. pp. 397-398 .

Middleton, I. and Damper, R. I. (1996) Identification of the lung boundary in MR images using neural networks. 18th Annual International Conference of IEEE Engineering in Medicine and Biology Society. pp. 1085-1086 .

Adamson, M. J. and Damper, R. I . (1996) A recurrent network that learns to pronounce English text. International Conference on Spoken Language Processing (ICSLP'96). pp. 1704-1707 .

Damper, R. I., Tranchant, M. A. and Lewis, S. M. (1996) Speech versus keying in command and control: effect of concurrent tasking. International Journal of Human-Computer Studies, 45 (3), 337--348.

Chen, Y. Q., Nixon, M. S. and Damper, R. I. (1995) Implementing the k-nearest neighbour rule via a neural network. International Conference on Neural Networks (ICNN'95). 136--140 .

Middleton, I. and Damper, R. I. (1995) Segmentation of magnetic resonance images of the thorax by back-propagation. International Conference on Neural Networks (ICNN'95). pp. 2490-2494 .

Finan, R. A., Sapeluk, A. T. and Damper, R. I. (1996) Comparison of multilayer and radial basis function neural networks for text-dependent speaker recognition. International Conference on Neural Networks (ICNN'96). 1992--1997 .

Damper, R. I. and Eastmond., J. F. G. (1996) Pronouncing text by analogy. 16th International Conference on Computational Linguistics (COLING'96). 268--273 .

Luk, R. W. P. and Damper, R. I. (1996) Stochastic phonographic transduction for English. Computer Speech and Language, 10 (2), 133--153.

Damper, R. I., Harnad, S. and Gore, M. O. (1996) The auditory basis of the perception of voicing. ESCA Tutorial and Research Workshop on the Auditory Basis of Speech Perception. 69--74 .

Pont, M.J. and Damper, R.I. (1991) A computational model of afferent neural activity from the cochlea to the dorsal acoustic stria. Journal of the Acoustical Society of America, 89, 1213--1228.

Emmerson, M.D. and Damper, R.I. (1993) Determining and improving the fault tolerance of multi-layer perceptrons in a pattern-recognition application. IEEE Transactions on Neural Networks, 4, 788-793.

Lucas, S.M. and Damper, R.I. (1990) Syntactic neural networks. Connection Science, 2, 195-221.

Lucas, S.M. and Damper, R.I. (1990) Signature verification with a syntactic neural net. Proc. Int. Joint Conf. on Neural Networks. pp. 373-378 .

Lucas, S.M. and Damper, R.I. (1990) Text-phonetics translation using syntactic neural nets. Proc. 1st Tutorial and Research Workshop on Speech Synthesis. pp. 87-90 .

Lucas, S.M. and Damper, R.I. (1992) Syntactic neural networks. Sharkey, N.E. (ed.) Connectionist Natural Language Processing: Readings from Connection Science. pp. 56-82 .

Emmerson, M. D., Damper, R. I., Hey, A. J. G. and Upstill, C. (1991) Fault tolerance and redundancy of a neural net for the classification of acoustic data. IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP '91), Toronto, Canada. pp. 1061-1064 .

Emmerson, M. D. and Damper, R. I. (1992) Relations between fault tolerance and internal representations for multi-layer perceptrons. Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP'92). 281--284 .

Lucas, S.M. and Damper, R.I. (1992) A connectionist approach to text-phonemics translation using syntactic neural networks. Proc. Symposium on Neuroinformatics and Neurocomputing. pp. 25-36 .

Luk, R. W. P. and Damper, R. I. (1994) A review of stochastic transduction. 2nd ESCA /IEEE Tutorial and Research Workshop on Speech Synthesis. 248--251 .

Sullivan, K.P.H. and Damper, R.I. (1993) Novel-word pronunciation: a cross-language study. Speech Communication, 13, 441--452.

Turnbull, J.M., Sapeluk, A.T. and Damper, R.I. (1990) A new computer-based speech therapy tutor offering immediate and deferred visual feedback. Proceedings of the Institute of Acoustics, 12 (10), 63.

Damper, R.I. and Burgess, N. (1990) Path algebras for CMOS circuit testing. Facta Universitatis, Series: Electronics and Energetics, University of Nis, Yugoslavia, 3, 47-54.

Damper, R. I., Pont, M. J. and Elenius, K. (1990) Representation of initial stop consonants in a computational model of the dorsal cochlear nucleus. Speech Transmission Laboratory, Quarterly Progress and Status Report, (STL-QP), 7-41.

Damper, R.I. and Leedham, C.G. (1992) Human factors. Rowden, C.G. (ed.) Speech Processing. 360--393 .

Scutt, T.W. and Damper, R.I. (1992) Object-oriented modelling of small neuronal systems. Quarterly Newsletter of the Society for the Study of Artificial Intelligence and the Simulation of Behaviour, 80, 24--33.

Luk, R.W.P. and Damper, R.I. (1992) Inference of letter-phoneme correspondences using generalised stochastic transducers. Proceedings of the Institute of Acoustics, 14 (6), 519--522.

Damper, R. I., Hall, W. and Richards, J. W. , Damper, R. I., Hall, W. and Richards, J. W. (eds.) (1994) Multimedia Technologies and Future Applications , Pentech Press

Damper, R. I. (1995) Introduction to Discrete-Time Signals and Systems , Chapman and Hall

Damper, R.I. (1990) Speech aids for the handicapped. Ainsworth, W.A. (ed.) Advances in Speech, Hearing and Language Processing. 297--331 .

Lucas, S.M. and Damper, R.I. (1992) Syntactic neural networks in VLSI. Moore, W.R. and Delgado-Frias, J.G. (eds.) Artificial Intelligence and Neural Networks. 305--314 .

Lucas, S.M. and Damper, R.I. (1992) Syntactic neural networks for bidirectional text-phonetics translation. Bailly, G. and Benoit, C. (eds.) Talking Machines: Theories, Models and Applications. 127--141 .

Sullivan, K.P.H. and Damper, R.I. (1992) Novel-word pronunciation within a text-to-speech system. Bailly, G. and Benoit, C. (eds.) Talking Machines: Theories, Models and Applications. 183--195 .

Pont, M.J. and Damper, R.I. (1992) Exploring the role of the dorsal cochlear nucleus in the perception of voice-onset time. Ainsworth, W.A. (ed.) Advances in Speech, Hearing and Language Processing. 135--166 .

Damper, R. I. (1993) Speech as an interface medium: how can it best be used? In, Baber, C. and Noyes, J. M. (eds.) Interactive Speech Technology: Human Factors Issues in the Application of Speech Input/Output to Computers. Interactive Speech Technology: Human Factors Issues in the Application of Speech Input/Output to Computers (01/01/93) Taylor and Francis, pp. 59-71.

Sullivan, K.P.H. and Damper, R.I. (1990) A psychologically-governed approach to novel-word pronunciation within a text-to-speech system. Proc. Int. Conf. on Acoustics, Speech and Signal Processing. 341--344 .

Turnbull, J.M., Sapeluk, A.T. and Damper, R.I. (1990) Pole tracking in a vowel trainer for speech therapy. Int. Conf. Control. 117--120 .

Sullivan, K.P.H. and Damper, R.I. (1990) Novel-word pronunciation within a text-to-speech system. Proc. Tutorial and Research Workshop on Speech Synthesis. 97--100 .

Lucas, S.M. and Damper, R.I. (1991) Application of syntactic neural nets to text-phonetics conversion. Proc. Int. Conf. on Acoustics, Speech and Signal Processing. 509--512 .

Luk, R.W.P. and Damper, R.I. (1991) A novel approach to inferring letter-phoneme correspondences. Proc. Int. Conf. on Acoustics, Speech and Signal Processing. 741--744 .

Sullivan, K.P.H. and Damper, R.I. (1991) Speech synthesis by analogy: recent advances and results. Proc. Int. Conf. on Acoustics, Speech and Signal Processing. 761--764 .

Scutt, T.W. and Damper, R.I. (1991) Computational modelling of small neuronal systems. Proc. Int. Joint Conf. on Neural Networks. 430--435 .

Luk, R.W.P. and Damper, R.I. (1991) Stochastic transduction for English text-to-phoneme conversion. Proc. Eurospeech '91. 779--782 .

Luk, R.W.P. and Damper, R.I. (1992) Inferencing of letter-phoneme correspondences by delimiting and dynamic time warping techniques. Proc. Int. Conf. on Acoustics, Speech and Signal Processing. 61--64 .

Sullivan, K.P.H. and Damper, R.I. (1992) Synthesis-by-analogy: A bi-lingual investigation using German and English. Proc. Int. Conf. on Spoken Language Processing. 113--116 .

Luk, R.W.P. and Damper, R.I. (1992) A modification of the Viterbi algorithm for stochastic phonographic transduction. Proc. Int. Conf. on Spoken Language Processing. 855--858 .

Luk, R. W. P. and Damper, R. I. (1993) Inference of letter-phoneme correspondences with pre-defined consonant and vowel patterns. IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP'93), Minneapolis, MN. pp. 203-206 .

Damper, R.I. and Wood, S.D. (1993) Speech versus keying: a human factors study. Proc. of Joint Workshop on Speech Technology Applications. 203--206 .

Luk, R. W. P. and Damper, R. I. (1993) Experiments with silent-e and affix correspondences in stochastic phonographic transduction. Eurospeech '93, Berlin, Germany. pp. 917-920 .

Hannah, M. I., Sapeluk, A. T. and Damper, R. I. (1993) The effect of utterance content and length on speaker-verifier performance. European Conference on Speech Communication and Technology (Eurospeech '93). 2299--2302 .

Luk, R. W. P. and Damper, R. I. (1993) Inference of letter-phoneme correspondences using generalised stochastic transducers. IEEE International Conference on Signal Processing, Beijing, China. pp. 650-653 .

Hannah, M. I., Sapeluk, A. T., Damper, R. I. and Roger, I. M. (1993) Using genetic algorithms to improve speaker-verifier performance. Joint IEEE/IEE Workshop on Natural Algorithms in Signal Processing. 24/1--24/9 .

Chan, P. A. and Damper, R. I. (1994) Voice conversion by whole-spectrum scaling. ESCA Tutorial and Research Workshop on Automatic Speaker Recognition, Identification and Verification. 165--168 .

Hannah, M. I., Sapeluk, A. T. and Damper, R. I. (1994) The role of the reference template in speaker verification. ESCA Tutorial and Research Workshop on Automatic Speaker Recognition, Identification and Verification. 181--184 .

Damper, R. I. (1994) Connectionist models of categorical perception of speech. IEEE International Symposium on Speech, Image Processing and Neural Networks. 101--104 .

Lucas, S. M. and Damper, R. I. (1990) Self-organising temporal networks. Workshop on Genetic Algorithms, Simulated Annealing and Neural Networks Applied to Problems in Signal/Image Processing and Communications.

Damper, R. I. (1995) Self-learning and connectionist approaches to text-phoneme conversion. Levy, J., Bairaktaris, D., Bullinaria, J. and Cairns, J. (eds.) In Connectionist Models of Memory and Language. UCL Press. 117--144 .

Damper, R. I. and Wood, S. D. (1995) Speech versus keying in command and control applications. International Journal of Human-Computer Studies, 42 (3), 289--305.

Damper, R. I. and Evans, M. D. (1995) A multi-function domestic alert system for the deaf-blind. IEEE Transactions on Rehabilitation Engineering, 3 (4), 354--359.

Damper, R. I. (1995) Automatic discovery of features underlying the perception of voicing. International Conference on Acoustics, Speech and Signal Processing (ICASSP'95). 3459--3463 .

Damper, R. I., Tranchant, M. A. and Wood, S. D. (1995) Speech versus keying in the human-computer interface. Tutorial and Research Workshop on Spoken Dialogue Systems. 229--232 .

Damper, R. I., Thorpe, J. R. and Shadle, C. H. (1995) Separation of speech from simultaneous talkers. XIIIth International Congress of Phonetic Sciences. 282--285 .

Adamson, M. J. and Damper, R. I. (1999) B-RAAM: A connectionist model which develops holistic internal representations of symbolic structures. Connection Science, 11 (1), 41-71.

Luk, R.W.P. and Damper, R.I. (1998) Computational complexity of a fast Viterbi decoding algorithm for stochastic letter-phoneme transduction. IEEE Transactions on Speech and Audio Processing, 6 (3), 217-225.

Scutt, T.W. and Damper, R.I. (1997) Designing a nervous system for an adaptive mobile robot. Browne, A. (ed.) In Neural Network Perspectives on Cognition and Adaptive Robotics. Institute of Physics Press. pp. 220-250 .

Damper, R. I. and Eastmond, J. F. G. (1997) Pronunciation by analogy: Impact of implementational choices on performance. Language and Speech, 40 (1), 1-23.

Gilson, S.J. and Damper, R.I. (1997) An empirical comparison of neural techniques for edge linking of images. Neural Computing and Applications, 6, 64-78.

Finan, R. A., Sapeluk, A. T. and Damper, R. I. (1997) Impostor cohort selection for score normalisation in speaker verification. Pattern Recognition Letters, 18 (9), 881-888.

Damper, R.I., Marchand, Y., Adamson, M.J. and Gustafson, K. (1998) A comparison of letter-to-sound conversion techniques for English text-to-speech synthesis. Proceedings of the Institute of Acoustics, 20 (6), 245-254.

Sim, H. C. and Damper, R. I. (1999) A neural network approach to planar-object recognition in 3D space. Pattern Analysis and Applications, 2 (2), 143-163.

Damper, R. I., Gunn, S. R. and Gore, M. O. (2000) Extracting phonetic knowledge from learning systems: Perceptrons, support vector machines and linear discriminants. Applied Intelligence, 12 (1-2), 43-62.

Damper, R. I. and Harnad, S. R. (2000) Neural network modeling of categorical perception. Perception and Psychophysics, 62 (4), 843-867.

Channon, A. D. and Damper, R. I. (2000) Towards the evolutionary emergence of increasingly complex advantageous behaviours. International Journal of Systems Science, 31 (7), 843-860.

Adams, L. J., Damper, R. I., Harnad, S. and Hall, W. (1999) A system design for human factors studies of speech-enabled Web browsing. ESCA Workshop on Interactive Dialogue in Multi-Modal Systems, Kloster Irsee, Germany. pp. 137-140 .

Higgins, J. E., Damper, R. I. and Harris, C. J. (1999) A multi-spectral data-fusion approach to speaker recognition. Fusion'99, 2nd International Conference on Information Fusion, Sunnyvale, CA. pp. 1136-1143 .

Damper, R. I. and Gunn, S. R. (1999) Learning phonetic distinctions from speech signals. Eurospeech'99, Budapest, Hungary. pp. 2675-2678 .

Middleton, I. and Damper, R. I. (1996) Identification of boundaries in MRI medical images using artificial neural networks. IEE Colloquium on Artificial Intelligence Methods for Biomedical Data Processing. 6/1-6/6 .

Finan, R.A., Sapeluk, A.T. and Damper, R.I. (1997) VQ score normalisation for text-dependent and text-independent speaker recognition. Bigun, J., Chollet, G. and Borgefors, G. (eds.) 1st International Conference on Audio- and Video-Based Person Authentication (AVBPA), Crans-Montana, Switzerland. pp. 211-218 .

Channon, A.D. and Damper, R.I. (1997) The artificial evolution of real intelligence. 4th European Conference on Artificial Life.

Damper, R.I. and Gustafson, K. (1997) Evaluating the pronunciation component of a text-to-speech system. Speech and Language Technology (SALT) Club Workshop on Evaluation in Speech and Language Technology, Sheffield, UK. pp. 72-79 .

Damper, R. I. (1997) Parity is not a generalisation problem. Behavioral and Brain Sciences, 20 (1), 69-70.

Gilson, S.J., Middleton, I. and Damper, R.I. (1997) A localised elastic net technique for lung boundary extraction from magnetic resonance images. 5th International Conference on Artificial Neural Networks, Cambridge, UK. pp. 199-204 .

Finan, R.A., Sapeluk, A.T. and Damper, R.I. (1997) Text-independent speaker verification using predictive neural networks. 5th International Conference on Artificial Neural Networks, Cambridge, UK. pp. 274-279 .

Scutt, T.W. and Damper, R.I. (1997) Biologically-motivated learning in adaptive mobile robots. IEEE International Conference on Systems, Man and Cybernetics, Orlando, FL. pp. 475-480 .

Sim, H.C. and Damper, R.I. (1997) Two-dimensional object matching using Kohonen maps. IEEE International Conference on Systems, Man and Cybernetics, Orlando, FL. pp. 620-625 .

Damper, R.I. (1997) A biocybernetic simulation of speech perception by humans and animals. IEEE International Conference on Systems, Man and Cybernetics, Orlando, FL. pp. 1638-1643 .

Damper, R.I. (1997) Connecting perception to cognition. Behavioral and Brain Sciences, 20, 744-745.

Gilson, S.J., Middleton, I. and Damper, R.I. (1998) Neural techniques for outlining the lungs in MR images of the lungs. International Symposium on Soft Computing, World Automation Congress, Anchorage, AK. 072.1-072.6 .

Damper, R.I. (1998) Auditory representations of speech sounds in a neural model: The role of peripheral processing. International Joint Conference on Neural Networks (ICNN'98), Anchorage, AK. pp. 2196-2201 .

Damper, R.I. and Scutt, T.W. (1998) Biologically-based learning in the ARBIB autonomous robot. IEEE International Symposia on Intelligence and Systems, Washington, DC. pp. 49-56 .

Damper, R.I. and Scutt, T.W. (1998) Biologically-motivated neural learning in situated systems. IEEE International Conference on Circuits and Systems (ISCAS'98), Monterey, CA. pp. 115-118 .

Damper, R. I. (1998) Self-learning and self-organisation as tools for speech research. Behavioral and Brain Sciences, 21 (2), 262-263.

Damper, R. I. (1998) Parity still isn't a generalisation problem. Behavioral and Brain Sciences, 21 (2), 307-308.

Damper, R.I. (1998) The role of the auditory periphery in the categorization of stop consonants. 16th International Congress on Acoustics and 135th Meeting of the Acoustical Society of America. pp. 1973-1974 .

Channon, A. D. and Damper, R. I. (1998) Evolving novel behaviors via natural selection. Adami, C., Belew, R. K., Kitano, H. and Taylor, C. E. (eds.) In ALife VI: Sixth International Conference on Artificial Life - Life and Computation, Los Angeles, CA. Bradford Books/MIT Press. pp. 384-388 .

Sim, H.C. and Damper, R.I. (1998) Recognition of planar objects in 3D space using a modified dynamic link architecture. IEEE International Conference on Image Processing (ICIP'98), Chicago, IL. pp. 571-575 .

Sim, H.C. and Damper, R.I. (1998) Dynamic link architecture for matching flat objects in three-dimensional space. 5th International Conference on Automation, Robotics, Control and Vision, Singapore. pp. 906-910 .

Sim, H.C. and Damper, R.I. (1998) Dynamic link architecture for matching planar objects in three-dimensional space. IEEE International Conference on Systems, Man and Cybernetics (SMC'98), San Diego, CA. pp. 4405-4410 .

Sim, H.C. and Damper, R.I. (1998) Matching flat objects in 3D space using dynamic link architecture. 1st International Workshop on Comuter Vision, Pattern Recognition and Computer Vision (CVPRIP'98), Research Triangle, NC. pp. 457-460 .

Sim, H.C. and Damper, R.I. (1998) Recognition of planar objects in 3D space. 9th British Machine Vision Conference (BMVC'98), Southampton, UK. pp. 894-903 .

Damper, R.I. and Gilson, S.J. (1998) Neural techniques for path linking, with application to image processing. International ICSC/IFAC Symposium on Neural Computation (NC'98), Vienna, Austria. pp. 488-494 .

Damper, R.I., Marchand, Y., Adamson, M.J. and Gustafson, K. (1998) Comparative evaluation of letter-to-sound conversion techniques for English text-to-speech synthesis. 3rd ESCA/COCOSDA International Workshop on Speech Synthesis, Jenolan Caves, Australia. pp. 53-58 .

Damper, R.I. and Marchand, Y. (1998) Improving pronunciation by analogy for text-to-speech applications. 3rd ESCA/COCOSDA International Workshop on Speech Synthesis, Jenolan Caves, Australia. pp. 65-70 .

Damper, R.I. and Gunn, S.R. (1998) On the learnability of the voicing contrast for initial stops. 5th International Conference on Spoken Language Processing, Sydney, Australia. pp. 2143-2146 .

Damper, R. I., Marchand, Y., Adamson, M. J. and Gustafson, K. (1999) Evaluating the pronunciation component of text-to-speech systems for English: A performance comparison of different approaches. Computer Speech and Language, 13 (2), 155-176.

Channon, A. D. and Damper, R. I. (1998) Perpetuating evolutionary emergence. Pfeifer, R., Blumberg, B., Meyer, J.-A. and Wilson, S. (eds.) In From Animals to Animats 5: Proceedings of the Fifth International Conference on Simulation of Adaptive Behavior (SAB98), Zurich. MIT Press. pp. 534-539 .

Damper, R. I., French, R. L. B. and Scutt, T. W. (2000) ARBIB: An autonomous robot based on inspiration from biology. Robotics and Autonomous Systems, 31 (4), 247-274.

Marchand, Y. and Damper, R. I. (2000) A multi-strategy approach to improving pronunciation by analogy. Computational Linguistics, 26 (2), 195-219.

Damper, R. I. (2000) Emergence and levels of description. International Journal of Systems Science, 31 (7), 811-818.

Emmerson, M.D., Damper, R.I., Hey, A.J.G. and Upstill, C. (1991) Fault tolerance and redundancy of neural nets for the classification of acoustic data.

Damper, R. I. (2000) Ontogenetic versus phylogenetic learning in the emergence of phonetic categories. 3rd International Workshop on the Evolution of Language, Paris, France. pp. 55-58 .

Shi, D., Gunn, S. R., Damper, R. I. and Shu, W. (2000) Recognition rule acquisition by an advanced extension matrix algorithm. Engineering Intelligent Systems for Electrical Engineering and Communications, 8 (2), 97-101.

Finan, R. A., Damper, R. I. and Sapeluk, A. T. (2001) Improved data modeling for text-dependent speaker recognition using sub-band processing. International Journal of Speech Technology, 4 (1), 45-62.

Damper, R. I. and Marchand, Y. (2000) Pronunciation by analogy in normal and impaired readers. 4th Conference on Natural Language Learning and 2nd Learning Language in Logic Workshop, Lisbon, Portugal. pp. 13-18 .

Damper, R. I., French, R. L. B. and Scutt, T. W. (2000) The Hi-NOON neural simulator and its applications. Small Systems Simulation Symposium, SSSS2000, Nis, Yugoslavia. pp. 31-36 .

Ilic, T. R., Zarkovic, K. M., Litovski, V. B. and Damper, R. I. (2000) ANN application in modelling of dynamic linear circuits. Small Systems Simulation Symposium, SSSS2000, Nis, Yugoslavia. pp. 43-47 .

Marino, A. and Damper, R. I. (2000) Breaking the symmetry of the graph colouring problem with genetic algorithms. Genetic and Evolutionary Computation Conference (GECCO-2000), Late Breaking Papers, Las Vegas, NV. pp. 240-245 .

French, R. L. B. and Damper, R. I. (2000) Stability of learning in the ARBIB autonomous robot. Supplement - Sixth International Conference on Simulation of Adaptive Behavior: From Animals to Animats (SAB 2000), Paris, France. pp. 150-159 .

Shi, D., Damper, R. I. and Shu, W. (2000) Chinese character recognition using genetic algorithms and extension matrix algorithms. Communications of the Chinese and Oriental Language Processing Society (COLIPS), 9 (2), 137-154.

French, R.L.B., Damper, R.I. and Scutt, T.W. (2000) The Hi-NOON neural simulator and its applications to animal, animat and humanoid studies. First IEEE-RAS International Conference on Humanoid Robots, , Boston, United States.

Wilmer, A. I., Stathaki, T., Gunn, S. R. and Damper, R. I. (2001) Texture analysis with the Volterra model using conjugate gradient optimisation. 9th European Symposium on Artificial Neural Networks, Bruges, Belgium. pp. 211-216 .

Damper, R. I. and French, R. L. B. (2001) Scaling intelligent behaviour in the ARBIB autonomous robot. 3rd British Conference on Autonomous Mobile Robotics and Autonomous Systems: TIMR01-Towards Intelligent Mobile Robots, Manchester, United Kingdom.

Damper, R. I. (2001) Analogical reasoning, analog computation and the computational hypothesis of cognitive science. Model-Based Reasoning: Scientific Discovery, Technological Innovation, Values, MBR'01, Pavia, Italy.

Damper, R. I. (2001) Thought experiments can be harmful. Model-Based Reasoning: Scientific Discovery, Technological Innovation, Values, MBR'01, Pavia, Italy.

Damper, R. I. and Gunn, S. R. (2001) Modeling the acoustic-to-auditory transformation for stop consonant-vowel syllables. Fifth International Conference on Cognitive and Neural Systems, Boston, MA. #23 .

Damper, R. I. and French, R. L. B. (2001) Studying links between neurophysiology and behavior with the ARBIB autonomous robot. Fifth International Conference on Cognitive and Neural Systems, Boston, MA. #35 .

Higgins, J. E and Damper, R. I. (2001) An HMM-based subband processing approach to speaker identification. Bigun, J. and Smeraldi, F. (eds.) 3rd International Conference on Audio- and Video-Based Biometric Person Authentication (AVBPA), Halmstad, Sweden. p. 169 .

Lappas, P., Carter, J. N. and Damper, R. I. (2002) Robust evidence-based object tracking. Pattern Recognition Letters, 23 (1-3), 253-260.

French, R. L. B. and Damper, R. I. (2001) Evolving a nervous system of spiking neurons for a behaving robot. Genetic and Evolutionary Computation Conference (GECCO 2001), San Francisco, CA. pp. 1099-1106 .

Damper, R. I. , Damper, R. I. (ed.) (2001) Data-Driven Techniques in Speech Synthesis , Kluwer

Damper, R. I. (2001) Learning about speech from data: Beyond NETtalk. In, Damper, R. I. (ed.) Data-Driven Techniques in Speech Synthesis. Data-Driven Techniques in Speech Synthesis (01/01/01) Kluwer, pp. 1-25.

Luk, R. W. P. and Damper, R. I. (2001) English letter-phoneme conversion by stochastic transducers. In, Damper, R. I. (ed.) Data-Driven Techniques in Speech Synthesis. Data-Driven Techniques in Speech Synthesis (01/01/01) Kluwer, pp. 91-123.

Higgins, J. E., Dodd, T. J. and Damper, R. I. (2001) Information fusion for subband-HMM speaker recognition. INNS-IEEE International Joint Conference on Neural Networks, IJCNN'01, Washington DC. pp. 1504-1509 .

Shi, D., Gunn, S. R. and Damper, R. I. (2001) Active radical modeling for handwritten Chinese characters. Sixth International Conference on Document Analysis and Recognition, ICDAR'01, Seattle, WA. pp. 236-240 .

Damper, R. I. (2002) Learning is not just identification. Contemporary Psychology, 47 (5), 513-515.

Damper, R. I., Stanbridge, C. Z. and Marchand, Y. (2002) A pronunciation-by-analogy module for the Festival text-to-speech synthesiser. 4th ISCA Workshop on Speech Synthesis, August/September 2001, Pitlochry, United Kingdom. pp. 97-102 .

Shadle, C. H. and Damper, R. I. (2002) Prospects for articulatory synthesis: A position paper. 4th ISCA Workshop on Speech Synthesis, August/September 2001, Pitlochry, United Kingdom. pp. 121-126 .

Lappas, P., Carter, J. N. and Damper, R. I. (2001) Object tracking via the dynamic velocity Hough transform. IEEE International Conference on Image Processing, Thessaloniki, Greece. pp. 371-374 .

Shi, D., Gunn, S. R. and Damper, R. I. (2001) A radical approach to handwritten Chinese character recognition using active handwriting models. IEEE Conference on Computer Vision and Pattern Recognition, Kauai, Hawaii. pp. 670-675 .

Damper, R. I., French, R. L. B. and Scutt, T. W. (2001) The Hi-NOON neural simulator and its applications. Microelectronics Reliability, 41 (12), 2051-2065.

Shi, D., Gunn, S. R. and Damper, R. I. (2001) A comparison among radical approaches to handwritten Chinese character recognition. International Conference on Chinese Computing, Singapore. pp. 213-219 .

Damper, R.I. (1982) Speech technology: Implications for biomedical engineering. Journal of Medical Engineering & Technology, 6, 135-149.

Burgess, N. and Damper, R. I. (1984) The inadequacy of the stuck-at model for testing MOS LSI circuits. Software and Microsystems, 3, 30-36.

Damper, R.I. (1976) Lateralisation of binaural images in a computer-controlled experiment. British Journal of Audiology, 10, 21-30.

Damper, R.I. (1976) Tracking of complex binaural images. Audiology, 15, 135-149.

Damper, R.I. and MacDonald, S.L. (1984) Statistical clustering procedures applied to low-cost speech recognition. Journal of Biomedical Engineering, 6, 265-271.

Damper, R.I. (1984) Text composition by the physically disabled: A rate prediction model for scanning input. Applied Ergonomics, 15, 289-296.

Damper, R.I. (1984) Voice-input aids for the physically disabled. International Journal of Man-Machine Studies, 21, 541-553.

Dabbagh, H.H. and Damper, R.I. (1985) Text composition by voice: Design issues and implementations. Augmentative and Alternative Communication, 1, 84-93.

Burgess, N., Damper, R.I., Shaw, S.J. and Wilkins, D.R.J. (1985) Faults and fault effects in NMOS circuits - impact on design for testability. IEE Proceedings G - Electronic Circuits and Systems, 132 (3), 82-89. (doi:10.1049/ip-g-1.1985.0019).

Baker, R.G., Downton, A.C., King, R.W. and Damper, R.I. (1986) Television and video technology in the education of deaf children. British Journal of Audiology, 20, 101-113.

Moore, W.R. and Damper, R.I. (1986) Applications of built-in test equipment within large systems. IEE Proceedings G - Electronic Circuits and Systems, 133, 221-226.

Damper, R.I. (1986) Message composition by word abbreviation. Augmentative and Alternative Communication, 2, 82(abstract).

Baker, R.G. and Damper, R.I. (1986) Educational aspects of television subtitling in deaf education. Behaviour & Information Technology, 5, 227-236.

Dabbagh, H.H. and Damper, R.I. (1986) 'Transparent' interfacing of speech recognisers to computers. Microprocessors and Microsystems, 10, 371-376.

Damper, R.I. (1986) Rapid message composition for large vocabulary speech output aids: A review of the possibilities. Augmentative and Alternative Communication, 2, 152-159. (doi:10.1080/07434618612331273970).

Damper, R.I. and Burgess, N. (1987) MOS test pattern generation using path algebras. IEEE Transactions on Computers, C-36, 1123-1128.

Damper, R.I., Burnett, J.W., Gray, P.W., Straus, L.P. and Symes, R.A. (1987) A hand-held text-to-speech device for the non-vocal disabled. Journal of Biomedical Engineering, 9, 332-340.

Burgess, N., Damper, R.I., Totton, K.A.E. and Shaw, S.J. (1988) Physical faults in MOS circuits and their coverage by different fault models. IEE Proceedings, Part E (Computers and Digital Techniques), 135, 1-9.

Pont, M.J. and Damper, R.I. (1989) The representation of synthetic stop consonants in a computational model of the dorsal cochlear nucleus. Journal of the Acoustical Society of America, 86, S45-S36 (abstract).

Turnbull, J.M., Sapeluk, A.T., Damper, R.I., Brodie, J.K. and Hood, H.E. (1990) A new computer-based speech therapy tutor for vowel production. Augmentative and Alternative Communication, 6, 113 (abstract).

Damper, R. I. and Sayers, B. McA. (1981) Two-dimensional signal analysis of binaural time/intensity trading data. Proceedings of Fifth Nordic Meeting on Medical and Biological Engineering. pp. 341-344 .

Damper, R. I. and Purswani, V. N. (1982) Voice-input environmental control for the physically disabled. Proceedings of First World Congress on Medical Physics and Biomedical Engineering. 6.05 .

Damper, R. I. (1984) Speech technology and the disabled. Proceedings of First International Conference on Speech Technology. pp. 135-143 .

Burgess, N., Wilkins, D. R. J., Damper, R. I. and Shaw, S. J. (1985) Faults effects in MOS circuits and their implications for digital circuit testing. IEE Conference Publication No. 232, Electronic Design Automation (EDA'84). pp. 83-91 .

Damper, R. I., Baker, R. G., Lambourne, A. D., Downton, A. C., King, R. W. and Newell, A. F. (1984) Educational subtitling for deaf children. Proceedings of Second International Conference on Rehabilitation Engineering. pp. 304-305 .

Damper, R. I. (1984) Speech as a medium for interfacing disabled people to aids. Proceedings of Second International Conference on Rehabilitation Engineering. pp. 450-451 .

Downton, A.C., Baker, R.G., Dudley, R.P., King, R.W., Damper, R.I. and Lambourne, A.D. (1984) Television subtitling for impaired linguistic skills. In Proceedings of 10th International Broadcasting Convention. pp. 170-174 .

Dabbagh, H. H. and Damper, R. I. (1984) Average selection length and time as predictors of communication rate. Proceedings of 8th Annual Conference on Rehabilitation Technology. pp. 404-406 .

Damper, R. I. (1986) Speech control of assistive devices for the physically disabled. Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP'86). pp. 653-656 .

Dabbagh, H. H., Damper, R. I. and Guy, D. P. (1986) 'Transparent' interfacing of speech recognisers to microcomputers. IEE Conference Publication No. 258, Speech Input/Output Techniques and Applications. pp. 138-143 .

Damper, R. I., Bladon, R. A. W., Hukin, R. W. and Irvine, G. N. A. (1987) Resynthesis and matching experiments on an auditory theory of speaker normalisation. Proceedings of XIth International Congress of Phonetic Sciences. pp. 78-81 .

Damper, R. I. and Sheppard, J. A. (1987) Speech recognition and computer access for paralysed users: A case study. Proceedings of European Conference on Speech Technology. pp. 266-267 .

Pont, M. J. and Damper, R. I. (1988) A neural model of infant speech perception. Proceedings of 7th FASE Symposium, Speech'88. pp. 515-522 .

Bowles, R. L., Damper, R. I. and Lucas, S. M. (1988) Combining evidence from separate speech recognition processes. Proceedings of 7th FASE Symposium, Speech'88. pp. 669-674 .

Turnbull, J. M., Sapeluk, A. T. and Damper, R. I. (1989) A new method of pole-tracking with application to vowel and semivowel recognition. Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP'89). pp. 568-571 .

Pont, M. J. and Damper, R. I. (1989) A possible neural basis for the categorical perception of the voiced/voiceless contrast. Proceedings of Eurospeech'89. pp. 239-242 .

Hukin, R. W. and Damper, R. I. (1989) Testing an auditory model by resynthesis. Proceedings of Eurospeech'89. pp. 243-246 .

Bowles, R. L. and Damper, R. I. (1989) Application of Dempster-Shafer theory of evidence to improved-accuracy, isolated word recognition. Proceedings of Eurospeech'89. pp. 384-387 .

Lucas, S. M. and Damper, R. I. (1989) A new learning paradigm for neural networks. IEE Conference Publication No. 313, 1st IEE International Conference on Neural Networks. pp. 346-350 .

Damper, R.I., Burnett, J.W., Gray, P.W., Straus, L.P. and Symes, R.A. (1985) PSYTALK: A portable text-to-speech device. European Conference on Technology and Communication Impairment. pp. 332-340 .

Baker, R.G. and Damper, R.I. (1985) Television subtitling in the education of deaf children: I. Educational aspects. Proceedings of 27th International Congress on Education of the Deaf, , Manchester, United Kingdom.

Damper, R. I. (1991) Statistical inferencing of text-phonemics correspondences. Phonetics Experimental Research at the Institute of Linguistics, University of Stockholm, PERILUS XIII, Papers from the 5th National Phonetics Conference.. pp. 109-112 .

Damper, R. I. (1984) Current research in the UK into speech synthesis and recognition to aid the disabled. Pedotti, A. and Andrich, R. (eds.) Evaluation of Assistive Devices for Paralyzed Persons. pp. 175-177 .

Damper, R. I., Lambourne, A. D. and Guy, D. P. (1985) Speech input as an adjunct to keyboard entry in television subtitling. Shackel, B. (ed.) Human-Computer Interaction---INTERACT'84. pp. 203-208 .

Damper, R. I. (1988) Practical experiences with speech data entry. McGaw, E. D. (ed.) Contemporary Ergonomics 1988. pp. 92-97 .

Damper, R. I. and Burgess, N. (1989) Path testing of MOS circuits. Massara, R. E. (ed.) Design and Test Techniques for VLSI and WSI Circuits. pp. 158-183 .

Damper, R. I. (1985) Failure mechanisms and fault models for MOS testing. Silicon Design, (May), 4-5.

Damper, R. I. (1985) Principles of speech synthesis. Physics Bulletin, 36, 336-338.

Damper, R.I. and MacDonald, S.L. (1984) Template adaptation in speech recognition. Proceedings of the Institute of Acoustics, 64 (4), 293-299.

Damper, R. I. (1985) Environmental control for the physically-disabled: Current trends in the UK. Cochrane, G. M. and Hamonet, A. C. (eds.) Proceedings of 1st EEC Topical Workshop on Communication, Manipulation and Environmental Control for Paralyzed Persons. pp. 1-14 .

Damper, R. I. (1985) Voice-input aids for the physically-disabled. Research in Medical Engineering, 67-68.

Damper, R. I. and Burgess, N. (1985) Path testing of MOS circuits. IEE Colloquium on Design for Testability. 11.1-11.5 .

Damper, R. I. and Borer, T. (1985) Comparison of vocoder systems by computer simulation. IEE Colloquium on Digital Signal Processing. 6.1-6.5 .

Damper, R. I. (1987) Interfacing disabled users to assistive aids. IEE Colloquium on Systems for the Disabled. 61/1-1/5 .

Lucas, S.M. and Damper, R.I. (1989) Using stochastic grammars for modelling and recognising cursive script. IEE Colloquium on Character Recognition and Applications. 3/1-3/4 .

Lucas, S.M. and Damper, R.I. (1990) Syntactic neural networks for speech technology. Proceedings of the Institute of Acoustics, 12 (10), 299-306.

Damper, R.I. (1975) Basic electricity. Hinchcliffe, R. and Harrison, D. F. N. (eds.) Scientific Foundations of Otolaryngology. pp. 51-68 .

Damper, R.I. (1975) Electronics. Hinchcliffe, R. and Harrison, D. F. N. (eds.) Scientific Foundations of Otolaryngology. pp. 69-87 .

Laxmi, V., Carter, J. N. and Damper, R. I. (2002) Biologically-motivated human gait classifiers. Third IEEE Workshop on Automatic Identification Advanced Technologies, AutoID'02, Tarrytown, NY. pp. 17-22 .

Higgins, J. E., Damper, R. I. and Dodd, T. J. (2002) Improving speaker identification by trainable data fusion and subband processing techniques. Third IEEE Workshop on Automatic Identification Advanced Technologies, AutoID'02, Tarrytown, NY. pp. 109-114 .

Laxmi, V., Carter, J. N. and Damper, R. I. (2002) Biologically-inspired human motion detection. 10th European Symposium on Artificial Neural Networks, Bruges, Belgium. pp. 95-100 .

Higgins, J. E., Dodd, T. J. and Damper, R. I. (2001) Application of multiple classifier techniques to subband speaker identification with an HMM/ANN system. Kittler, J. and Roli, F. (eds.) Multiple Classifier Systems, Second International Workshop (MCS 2001), Cambridge, UK. pp. 369-377 .

Damper, R. I., Gilson, S. J. and Middleton, I. (2002) A semi-localized elastic net for surface reconstruction of objects from multislice images. International Journal of Neural Systems, 12 (2), 95-108.

Power, G., Damper, R. I., Hall, W. and Wills, G. B. (2002) Realism and naturalness in a conversational multi-modal interface. ISCA Workshop on Interactive Dialogue in Mobile Environments, Kloster Irsee, Germany. CD-ROM .

French, R. L. B. and Damper, R. I. (2002) Evolution of a circuit of spiking neurons for phototaxis in a Braitenberg vehicle. Simulation of Adaptive Behavior 2002 -- From Animals to Animats 7, Edinburgh, United Kingdom. pp. 335-344 .

Shi, D., Gunn, S. R. and Damper, R. I. (2003) Handwritten Chinese radical recognition using nonlinear active shape models. IEEE Transactions on Pattern Analysis and Machine Intelligence, 25 (2), 277-280.

Shi, D., Gunn, S. R. and Damper, R. I. (2002) Handwritten Chinese character recognition using nonlinear active shape models and the Viterbi algorithm. Pattern Recognition Letters, 23 (14), 1853-1862.

Damper, R. I. (2001) Programs, models, theories and reality. Behavioral and Brain Sciences, 24 (6), 1055-1056.

Damper, R. I. (2003) Obituary: W.A. ('Bill') Ainsworth 1939-2002. International Journal of Speech Technology, 6 (1), 7-9.

Damper, R. I. (2003) Obituary: W.A. ('Bill') Ainsworth 1939-2002. Computer Speech and Language, 17 (1), 105-106.

Dominguez-Lopez, J. A., Damper, R. I., Crowder, R. M. and Harris, C. J. (2003) Optimal object grasping using fuzzy logic. International Conference on Robotics, Vision, Information and Signal Processing (ROVISP'2004), Penang, Malaysia. pp. 367-372 .

Damper, R. I. and French, R. L. B. (2003) Evolving spiking neuron controllers for phototaxis and phonotaxis. Raidl, G. (ed.) Applications of Evolutionary Computation, EvoWorkshops 2003, Colchester, United Kingdom. pp. 616-625 .

Damper, R. I. and Higgins, J. E. (2003) Improving speaker identification in noise by subband processing and decision fusion. Pattern Recognition Letters, 24 (13), 2167-2173.

Litovski, V. B., Anderejevic, M., Petkovic, P. M. and Damper, R. I. (2004) ANN application to modelling of the D/A and A/D interface for mixed-mode behavioural simulation. Journal of Circuits, Systems and Computers, 13 (1), 181-192.

Ng, G. S., Shi, D., Gunn, S. R. and Damper, R. I. (2003) Nonlinear active handwriting models and their applications to handwritten Chinese radical recognition. Seventh International Conference on Document Analysis and Recognition (ICDAR'03), Edinburgh., United Kingdom.

Middleton, I. and Damper, R. I. (2004) Segmentation of magnetic resonance images using a combination of neural networks and active contour models. Medical Engineering and Physics, 26 (1), 71-86.

Shi, D., Damper, R. I. and Gunn, S. R. (2003) Off-line handwritten Chinese character recognition by radical decomposition. ACM Transactions on Asian Language Processing, 2 (1), 27-48.

Carter, J. N., Lappas, P. and Damper, R. I. (2003) Evidence-based object tracking via global energy maximization. IEEE International Conference on Multimedia and Expo (ICME 2003), Baltimore, MD. pp. 185-188 .

Damper, R. I. (2003) Preface (to Theme Issue on Biologically Inspired Robotics). Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 361 (1811), 2081-2083.

Lappas, P., Damper, R. I. and Carter, J. N. (2003) Feature tracking in an energy maximization framework. IEEE International Conference on Machine Learning and Cybernetics, Xi'an, China. pp. 3109-3114 .

Dominguez-Lopez, J. A., Damper, R. I., Crowder, R. M. and Harris, C. J. (2003) Hybrid neurofuzzy online learning for optimal grasping. IEEE International Conference on Machine Learning and Cybernetics, Xi'an, China. pp. 803-808 .

Damper, R. I., Marchand, Y., Marsters, J-D. S. and Bazin, A. I. (2004) Aligning letters and phonemes for speech synthesis. 5th International Speech Communication Association (ISCA) Workshop on Speech Synthesis, Pittsburgh, PA. pp. 209-214 .

Sunderland, R. M., Crowder, R. M. and Damper, R. I. (2004) An approach to the simulation of robotic systems using XML-based configuration files. DETC'04, Design Engineering Technical Conferences, Salt Lake City, UT. 31 Aug - 30 Sep 2004. no pagination-CD-ROM .

Dominguez-Lopez, J. A., Damper, R. I., Crowder, R. M. and Harris, C. J. (2004) Adaptive neurofuzzy control of a robotic gripper with online machine learning. Robotics and Autonomous Systems, 48 (2-3), 93-110. (doi:10.1016/j.robot.2004.06.001).

Dominguez-Lopez, J. A., Crowder, R. M., Damper, R. I. and Harris, C. J. (2004) Adaptive neurofuzzy control of a robotic gripper with external disturbances. IEEE International Conference on Systems, Man and Cybernetics, The Hague, The, Netherlands. pp. 3193-3198 .

Damper, R. I. (2004) The Chinese room argument: Dead but not yet buried. Journal of Consciousness Studies, 11 (5-6), 159-169.

Damper, R. I. and Marchand, Y. (2006) Information fusion approaches to the automatic pronunciation of print by analogy. Information Fusion, 7 (2), 207-220.

Damper, R. I. (2004) The Chinese room thought experiment: Far out? Thought Experiments Rethought, Ghent, Belgium. pp. 22-23 .

Litovski, V. B., Andrejevic, M. V. and Damper, R. I. (2003) Modeling the D/A interface for mixed-mode behavioral simulation. International Conference on the Computer as a Tool, IEEE Region 8 EUROCON 2003, Ljubljana, Slovenia. pp. 130-133 .

Damper, R. I., Marchand, Y., Marsters, J-D. S. and Bazin, A. I. (2005) Aligning text and phonemes for speech technology applications using an EM-like algorithm. International Journal of Speech Technology, 8 (2), 149-162.

Marchand, Y. and Damper, R. I. (2007) Can syllabification improve pronunciation by analogy? Natural Language Engineering, 13 (1), 1-24.

Dominguez-Lopez, J. A., Damper, R. I., Crowder, R. M. and Harris, C. J. (2006) Intelligent neurofuzzy control of a robotic gripper. In, Patnaik, S., Jain, L. C., Tzafestas, S. G., Resconi, G. and Konar, A. (eds.) Innovations in Robot Mobility and Control. Springer-Verlag, pp. 155-199.

Subari, K., Shadle, C. H., Barney, A. and Damper, R. I. (2004) Comparison of fricative vocal tract transfer functions derived using two different segmentation techniques. International Conference on Signal Processing (ICSP 2004), Istanbul, Turkey. pp. 164-168 .

Avila-Garcia, M. S., Carter, J. N. and Damper, R. I. (2004) Extracting tongue shape dynamics from magnetic resonance image sequences. International Conference on Signal Processing (ICSP 2004), Istanbul, Turkey. pp. 288-291 .

Lappas, P., Damper, R. I. and Carter, J. N. (2006) Object tracking by energy maximization. Soft Computing, 10 (1), 20-26.

Shi, D., Ng, G. S., Damper, R. I. and Gunn, S. R. (2005) Radical recognition of handwritten Chinese characters using GA-based kernel active shape modelling. IEE Proceedings - Vision, Image and Signal Processing, 152 (5), 634-638.

Damper, R. I. and Gladstone, K. (2005) IMAGINE: towards universal mobile access to e-services by speech interaction. HCI International 2005, Las Vegas, NV. no pagination-CD-ROM .

Guo, B., Gunn, S. R., Damper, R. I. and Nelson, J. (2005) Adaptive band selection for hyperspectral image fusion using mutual information. 8th International Conference on Information Fusion, Philadelphia, PA. pp. 630-637 .

Hu, R. and Damper, R. I. (2005) Fusion of two classifiers for speaker identification: removing and not removing silence. 8th International Conference on Information Fusion, Philadelphia, PA. pp. 429-436 .

Guo, B., Gunn, S. R., Damper, R. I. and Nelson, J. (2005) Hyperspectral image fusion using spectrally weighted kernels. 8th International Conference on Information Fusion, Philadelphia, PA. pp. 402-408 .

Soonklang, T., Damper, R. I. and Marchand, Y. (2005) Comparative objective and subjective evaluation of three data-driven techniques for proper name pronunciation. Interspeech 2005, Lisbon, Portugal. pp. 1905-1908 .

Sunderland, R. M., Damper, R. I. and Crowder, R. M. (2005) A framework for biologically-inspired control of reaching motions. 3rd International Symposium on Adaptive Motion in Animals and Machines (AMAM 2005), Ilmenau, Germany. no pagination-CD-ROM .

Marchand, Y., Damper, R. I. and Adsett, C. (2005) Computational models of reading aloud: Where are the polysyllabic letter strings? 9th International Conference on Cognitive Neuroscience, Havana, Cuba. p. 386 .

Avila-Garcia, M. S., Carter, J. N. and Damper, R. I. (2005) Automatic extraction of tongue shape dynamics from magnetic resonance image sequences. 10th International Conference on Speech and Computer (SPECOM2005), Patras, Greece. pp. 119-122 .

Damper, R. I., Marchand, Y., Adsett, C. R., Soonklang, T. and Marsters, J-D. S. (2005) Multilingual data-driven pronunciation. 10th International Conference on Speech and Computer (SPECOM2005), Patras, Greece. pp. 167-170 .

Luk, R. W.-P. and Damper, R. I. (2006) Non-parametric linear time-invariant system identification by discrete wavelet transforms. Digital Signal Processing, 16 (3), 303-319.

Laxmi, V., Damper, R. I. and Carter, J. N. (2006) Human and machine perception of biological motion. Cognitive Systems Research, 7 (4), 339-356.

Zhou, Z., Prugel-Bennett, A. and Damper, R. I. (2006) A Bayesian framework for extracting human gait using strong prior knowledge. IEEE Transactions on Pattern Analysis and Machine Intelligence, 28 (11), 1738-1752.

Damper, R. I. (2006) Thought experiments can be harmful. The Pantaneto Forum, 22.

Channon, A. D. and Damper, R. I. (1998) The evolutionary emergence of socially intelligent agents. Edmonds, B. and Dautenhahn, K. (eds.) Socially Situated Intelligence: a workshop held at SAB'98, Zurich. pp. 41-49 .

Marchand, Y. and Damper, R. I. (2006) Pronunciation by analogy and naming latency. 7th International Conference on Cognitive Modelling, Trieste, Italy. pp. 202-207 .

Guo, B., Gunn, S. R., Damper, R. I. and Nelson, J. D. B. (2006) Band selection for hyperspectral image classification using mutual information. IEEE Geoscience and Remote Sensing Letters, 3 (4), 522-526.

Hu, R. and Damper, R. I. (2006) A 'no panacea theorem' for multiple classifier combination. International Conference on Pattern Recognition, ICPR2006, Hong Kong, China. no pagination-(CDROM) .

Damper, R. I. and Gladstone, K. (2006) Experiences of usability evaluation of the IMAGINE speech-based interaction system. International Journal of Speech Technology, 9 (1-2), 41-50.

Nelson, J. D. B., Damper, R. I., Gunn, S. R. and Guo, B. (2006) Signal theory for SVM kernel parameter estimation. IEEE International Workshop on Machine Learning for Signal Processing, Maynooth, Ireland. pp. 149-154 .

Damper, R I (2006) The logic of Searle's Chinese room argument. Minds and Machines, 16 (2), 163-183.

Damper, R I (2006) Can machines think? An update and reappraisal. Proceedings from Computers and Philosophy: an International Conference, i-C&P 2006, Laval, France. pp. 555-565 .

Zhou, Z, Damper, R I and Prugel-Bennett, A (2006) Model selection within a Bayesian approach to extraction of walker motion. IEEE Conference on Computer Vision and Pattern Recognition Workshop (CVPRW'06), New York, NY. pp. 44-51 .

Worgan, S F and Damper, R I (2006) Grounding symbols in the physics of speech communication. External Symbol Grounding Workshop 2006, Plymouth, United Kingdom. pp. 33-34 .

Worgan, S F and Damper, R I (2007) Grounding symbols in the physics of speech communication. Interaction Studies, 8 (1), 7-30.

Damper, R. I. and Soonklang, T. (2007) Subjective evaluation of techniques for proper name pronunciation. IEEE Transactions on Audio, Speech and Language Processing, 15 (8), 2213-2221.

Hu, R. and Damper, R.I. (2009) Optimal weighting of bimodal biometric information with specific application to audio-visual person identification. Information Fusion, 10 (2), 172-182. (doi:10.1016/j.inffus.2008.08.003).

Hu, R. and Damper, R. I. (2007) Optimal weighting of audio-visual speaker identification on the XM2VTS database. International Conference on Auditory-Visual Speech Processing, AVSP 2007, Hilvarenbeek, The, Netherlands. pp. 152-157 .

Marchand, Y., Adsett, C. R. and Damper, R. I. (2007) Evaluating automatic syllabification algorithms for English. 6th International Speech Communication Association (ISCA) Workshop on Speech Synthesis, Bonn, Germany. pp. 316-321 .

Claussen, H., Rosca, J. and Damper, R. (2007) Mutual interdependence analysis (MIA). Davis, M. E., James, C. C., Abdallah, S. A. and Plumbley, M. D. (eds.) Independent Component Analysis 2007, London, United Kingdom. pp. 446-453 .

Soonklang, T., Damper, R. I. and Marchand, Y. (2007) Effect of lexicon composition in pronunciation by analogy. 10th International Conference on Text, Speech and Dialogue, TSD 2007, Pilsen, Czech Republic. pp. 464-471 .

Worgan, S F and Damper, R I (2008) Removing 'mind-reading' from the iterated learning model. The Evolution of Language. Proceedings of the 7th International Conference (EVOLANG7), Barcelona, Spain. pp. 378-385 .

Worgan, S F and Damper, R I (2007) Speech perception as non-symbolic pattern recognition. Symposium on Language and Robotics, Aveiro, Portugal. pp. 99-100 .

Guo, B., Damper, R. I., Gunn, S. R. and Nelson, J. D. B. (2008) A fast separability-based feature selection method for high-dimensional remotely-sensed image classification. Pattern Recognition, 41 (5), 1670-1679. (doi:10.1016/j.patcog.2007.11.007).

Guo, B., Gunn, S. R., Damper, R. I. and Nelson, J. D. B. (2008) Customizing kernel functions for SVM-based hyperspectral image classification. IEEE Transactions on Image Processing, 17 (4), 622-629. (doi:10.1109/TIP.2008.918955).

Claussen, H., Rosca, J. and Damper, R. (2008) Mutual features for robust identification and verification. IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP'08, Las Vegas, NV. pp. 1849-1852 . (In Press)

Nelson, J. D. B., Damper, R. I., Gunn, S. R. and Guo, B. (2008) Signal theory for SVM kernel design with applications to parameter estimation and sequence kernels. Neurocomputing, 72 (1-3), 15-22. (doi:10.1016/j.neucom.2008.01.034).

Hu, R. and Damper, R. I. (2008) A 'no panacea theorem' for classifier combination. Pattern Recognition, 41 (8), 2665-2673. (doi:10.1016/j.patcog.2008.01.022).

Soonklang, T., Damper, R. I. and Marchand, Y. (2008) Multilingual pronunciation by analogy. Natural Language Engineering, 14 (4), 527-546.

Worgan, S. F. and Damper, R. I. (2009) Symbolism and enactivism: An experimental test of conflicting approaches to artificial intelligence. Journal of Experimental and Theoretical AI, 21 (1), 1-18.

Marchand, Y., Adsett, C. R. and Damper, R. I. (2009) Automatic syllabification in English: A comparison of different algorithms. Language and Speech, 52 (1), 1-27.

Nelso, James D.B., Damper, Robert I., Gunn, Steve R. and Guo, Baofeng (2009) A signal theory approach to support vector classification: the sinc kernel. Neural Networks, 22 (1), 49-57. (doi:10.1016/j.neunet.2008.09.016). (PMID:19118976)

Claussen, H., Rosca, J. and Damper, R. I. (2009) Generalized mutual interdependence analysis. IEEE International Conference on Acoustics, Speech and Signal Processing, Taipei, Taiwan. pp. 3317-3320 . (In Press)

Casey, M. C. and Damper, R. I. (2010) Editorial: Special Issue on Biologically-Inspired Information Fusion. Information Fusion, 11 (1), 2-3.

Almohimeed, Abdulaziz, Wald, Mike and Damper, R. (2009) A New Evaluation Approach for Sign Language Machine Translation. In Assistive Technology from Adapted Equipment to Inclusive Environments - AAATE 2009. IOS Press. pp. 498-502 .

Almohimeed, Abdulaziz, Wald, Mike and Damper, Robert (2010) An Arabic Sign Language Corpus for Instructional Language in School. LREC 2010: 4th Workshop on the Representation and Processing of Sign Languages: Corpora and Sign Language Technologies, Malta. 16 - 21 May 2010. pp. 81-82 .

Damper, R. I. (2011) Can dependent sources be suppressed in electrical circuit theory? International Journal of Electronics, 98 (4), 543-553.

Hassan, A. and Damper, R. I. (2009) Emotion recognition from speech using extended feature selection and a simple classifier. Interspeech 2009, Brighton, United Kingdom. pp. 2403-2406 .

Hassan, A. and Damper, R. I. (2010) Multi-class and hierarchical SVMs for emotion recognition. Interspeech 2010, Makuhari, Japan. pp. 2354-2357 .

Claussen, H, Rosca, J and Damper, R (2010) Signature extraction using mutual interdependencies. Pattern Recognition, 44 (3), 650-661.

Marchand, Y. and Damper, R. I. (2011) Computational simulations of surface and phonological dyslexias. 10th International Symposium of Psycholinguistics, San Sebastian, Spain.

Almohimeed, Abdulaziz, Wald, M. and Damper, R.I. (2011) Arabic Text to Arabic Sign Language Translation System for the Deaf and Hearing-Impaired Community. EMNLP 2011: The Second Workshop on Speech and Language Processing for Assistive Technologies (SLPAT), Edinburgh, UK, United Kingdom. pp. 101-109 .

Hassan, Ali and Damper, R.I. (2012) Classification of emotional speech using 3DEC hierarchical classifier. Speech Communication, 54 (7), 903-916.

Hassan, A., Damper, R.I. and Niranjan, M. (2013) On acoustic emotion recognition: compensating for covariate shift. IEEE Transactions on Audio, Speech and Language Processing, 21 (7), 1458-1468. (doi:10.1109/TASL.2013.2255278).

Share this profile FacebookTwitterWeibo

Publications

Ferrara, Anna Lisa, Green, Matthew, Hohenberger, Susan and Pedersen, Michael stergaard (2009) Practical Short Signature Batch Verification. Topics in Cryptology - The Cryptographers' Track at the RSA Conference, San Francisco, CA, United States. 19 - 23 Apr 2009. pp. 309-324 .

Uzun, Emre, Atluri, Vijayalakshmi, Sural, Shamik, Vaidya, Jaideep, Gennaro, Parlato, Ferrara, Anna Lisa and Madhusudan, Parthasarathy (2012) Analyzing temporal role based access control models. In SACMAT '12 Proceedings of the 17th ACM symposium on Access Control Models and Technologies. ACM Press. 177 -186 . (doi:10.1145/2295136.2295169).

Ferrara, Anna Lisa, Madhusudan, P. and Parlato, Gennaro (2012) Security Analysis of Role-based Access Control through Program Verification. 25th IEEE Computer Security Foundations Symposium (CSF). pp. 113-125 .

Ferrara, Anna Lisa, Madhusudan, P., Lam Nguyen, Truc and Parlato, Gennaro (2014) VAC - verifier of administrative role-based access control policies. In 26th International Conference on Computer Aided Verification (CAV 2014). vol. 8559, 8 pp . (doi:10.1007/978-3-319-08867-9_12).

Uzun, Emre, Atluri, Vijayalakshmi, Vaidya, Jaideep, Sural, Shamik, Ferrara, Anna Lisa, Parlato, Gennaro and Madhusudan, P. (2014) Security analysis for temporal role based access control. Journal of Computer Security. (doi:10.3233/JCS-140510).

Uzun, Emre, Parlato, Gennaro, Atluri, Vijayalakshmi, Ferrara, Anna Lisa, Vaidya, Jaideep, Sural, Shamik and Lorenzi, David (2017) Preventing unauthorized data flows. Livraga, G. and Zhu, S. (eds.) In Data and Applications Security and Privacy XXXI. DBSec 2017. vol. 10359, Springer. pp. 41-62 . (doi:10.1007/978-3-319-61176-1_3).

Ferrara, Anna, Squicciarinni, Anna, Liao, Cong and Nguyen Lam, Truc (2017) Toward group-based user-attribute policies in azure-like access control systems. In IFIP Annual Conference on Data and Applications Security and Privacy: DBSec 2017: Data and Applications Security and Privacy XXXI. vol. 10359, Springer.. (doi:10.1007/978-3-319-61176-1).

Ferrara, Anna, Fuchsbauer, Georg, Liu, B. and Warinschi, Bodgan (2015) Policy privacy in cryptographic access control. 2015 IEEE 28th Computer Security Foundations Symposium, Italy. 13 - 17 Jul 2015.

Hart, Stephen, Ferrara, Anna Lisa and Paci, Federica (2019) Fuzzy-based approach to assess and prioritize privacy risks. Soft Computing, 1-11. (doi:10.1007/s00500-019-03986-5).

Share this profile FacebookTwitterWeibo
Email:
S.R.Staab@soton.ac.uk

 Dr. rer. nat.

I have studied computer science and computational linguistics at the Universität Erlangen-Nürnberg and at the University of Pennsylvania. I worked in the previous computational linguistics research group at the Universität Freiburg and did my Ph.D. in computer science in the faculty for technology in 1998. Afterwards I joined Universität Stuttgart, Institute IAT & Fraunhofer IAO, before I moved on to the Universität Karlsruhe (now: KIT), where I progressed from project lead, over lecturer and senior lecturer and did my habilitation in 2002. In 2004 I became professor for databases and information systems at Universität Koblenz-Landau, where I founded the Institute for Web Science and Technologies (WeST) in 2009 and was head of it until 2020. Since February 2020 I have a chair for Analytic Computing at the Institute for Parallel and Distributed Systems of Universität Stuttgart. In parallel, I hold a Chair for Web and Computer Science at University of Southampton since March 2015.

Research

Research interests

Data represent the world on our computers. While the world is very intriguing, data may be quite boring, if one does not know what they mean. I am interested in making data more meaningful to find interesting insights in the world outside.

How does meaning arise?

  • One can model data and information. Conceptual models and ontologies are the foundations for knowledge networks that enable the computer to treat data in a meaningful way.
  • Text and data mining as well as information extraction find meaningful patterns in data (e.g. using ontology learning of text clustering) as well as connections between data and its use in context (e.g. using smartphones). Hence, knowledge networks may be found in data.
  • Humans communicate information. In order to understand what data and information means, one has to understand social interactions. In the context of social network knowledge networks become meaningful for human consumption.
  • Eventually meaning is nothing that exists in the void. Data and information must be communicated to people who may use insights into data and information. Interaction between humans and computers must happen in a way that matches the meaning of data and information.

The World Wide Web is the largest information construct made by mankind to convey meaningful data. Web Science is the discipline that considers how networks of people and knowledge in the Web arise, how humans deal with it and which consequences this has for all of us. The Web is a meaning machine that I want do understand by my research.

Publications

d'Amato, Claudia, Staab, Steffen, Tettamanzi, Andrea, Duc Minh, Tran and Gandon, Fabien (2016) Ontology enrichment by discovering multi-relational association rules from ontological knowledge bases. SAC2016: 31st ACM Symposium on Applied Computing, Pisa, Italy. 03 - 07 Apr 2016. 6 pp .

Staab, Steffen, Stalla-Bourdillon, Sophie and Carmichael, Laura (2016) Observing and recommending from a social web with biases (Web Science Institute (WSI) Pump - Priming Project) Southampton, GB. University of Southampton 37pp.

Homscheid, Dirk, Schaarschmidt, Mario and Staab, Steffen (2016) Firm-sponsored developers in open source software projects: a social capital perspective. Twenty-Fourth European Conference on Information Systems (ECIS), Istanbul, Turkey. 11 - 14 Jun 2016. 11 pp .

Wang, Xin, Staab, Steffen and Tiropanis, Thanassis (2016) ASPG: generating OLAP queries for SPARQL benchmarking. Joint International Semantic Technology Conference (JIST2016), Singapore, Singapore. 02 - 04 Nov 2016. 15 pp . (doi:10.1007/978-3-319-50112-3_13).

Carmichael, Laura, Stalla-Bourdillon, Sophie and Staab, Steffen (2016) Data mining and automated discrimination: a mixed legal/technical perspective. IEEE Intelligent Systems, 31 (6), 51-55. (doi:10.1109/MIS.2016.96).

Kumar, Chandan, Menges, Raphael and Staab, Steffen (2016) Eye-controlled interfaces for multimedia interaction. IEEE MultiMedia, 23 (4), 6-13. (doi:10.1109/MMUL.2016.52).

Leinberger, Martin, Lämmel, Ralf and Staab, Steffen (2017) The essence of functional programming on semantic data. Yang, Hongseok (ed.) In Programming Languages and Systems: 26th European Symposium on Programming, ESOP 2017, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2017, Uppsala, Sweden, April 22–29, 2017, Proceedings. vol. 10201, Springer. pp. 750-776 . (doi:10.1007/978-3-662-54434-1_28).

Sun, Jun, Kunegis, Jerome and Staab, Steffen (2016) Predicting user roles in social networks using transfer learning with feature transformation. The Sixth IEEE ICDM Workshop on Data Mining in Networks (DaMNet 2016), Barcelona, Spain. 12 Dec 2016. 8 pp .

Menges, Raphael, Kumar, Chandan, Sengupta, Korok and Staab, Steffen (2016) eyeGUI: a novel framework for eye-controlled user interfaces. Proceedings of the 9th Nordic Conference on Human-Computer Interaction (NordiCHi'16), Gothenburg, Sweden. 6 pp . (doi:10.1145/2971485.2996756).

Brosius, Dominik and Staab, Steffen (2016) Linked data querying through FCA-based schema indexing. Proceedings of the 5th International Workshop "What can FCA do for Artificial Intelligence"? co-located with the European Conference on Artificial Intelligence, (FCA4AI@ECAI 2016), The Hague, Netherlands. 29 Aug 2016. pp. 63-68 .

Sengupta, Korok, Menges, Raphael, Kumar, Chandan and Staab, Steffen (2017) GazeTheKey: interactive keys to integrate word predictions for gaze-based text entry. Intelligent User Interfaces '17, Limassol, Cyprus. 13 - 16 Mar 2017. 4 pp . (doi:10.1145/3030024.3038259).

Sarasua, Cristina, Staab, Steffen and Thimm, Matthias (2017) Methods for intrinsic evaluation of links in the Web of Data. ESWC 2017, , Portoroz, Slovenia. 28 - 31 May 2017. 15 pp . (In Press)

Kumar, Chandan, Menges, Raphael, Müller, Daniel and Staab, Steffen (2017) Chromium based Framework to include Gaze Interaction in Web Browser. 26th International World Wide Web Conference, , Perth, Australia. 03 - 07 Apr 2017. 5 pp . (doi:10.1145/3041021.3054730).

Kumar, Chandan, Menges, Raphael and Staab, Steffen (2017) Assessing the usability of gaze-adapted Interface against conventional eye-based input emulation. In 2017 IEEE International Symposium on Computer-Based Medical Systems. IEEE.. (doi:10.1109/CBMS.2017.155).

Sengupta, Korok, Sun, Jun, Menges, Raphael, Staab, Steffen and Kumar, Chandan (2017) Analyzing the impact of cognitive load in evaluating gaze-based typing. In IEEE International Symposium on Computer-based Medical Systems, Proceedings of. IEEE.. (doi:10.1109/CBMS.2017.134).

Kling, Christoph Carl, Kunegis, Jérôme, Sizov, Sergej and Staab, Steffen (2014) Detecting non-gaussian geographical topics in tagged photo collections. In WSDM '14 Proceedings of the 7th ACM international conference on Web search and data mining. ACM Press. pp. 603-612 . (doi:10.1145/2556195.2556218).

Kling, Christoph Carl, Kunegis, Jerome, Hartmann, Heinrich, Strohmaier, Markus and Staab, Steffen (2015) Voting behaviour and power in online democracy: a study of LiquidFeedback in Germany's Pirate Party. In Proceedings of the Ninth International Conference on Web and Social Media. AAAI. pp. 208-217 .

Kleinen, Alexander, Scherp, Ansgar and Staab, Steffen (2014) Interactive faceted search and exploration of open social media data on a touchscreen mobile phone. Multimedia Tools and Applications, 71 (1), 39–60. (doi:10.1007/s11042-013-1366-3).

Walter, Tobias, Parreiras, Fernando Silva and Staab, Steffen (2014) An ontology-based framework for domain-specific modeling. Software and Systems Modeling, 13 (1), 83-108. (doi:10.1007/s10270-012-0249-9).

Pickhardt, Rene, Gottron, Thomas, Körner, Martin, Wagner, Paul Georg, Speicher, Till and Staab, Steffen (2014) A generalized language model as the combination of skipped n-grams and modified kneser-ney smoothing. In Proceedings of the 52nd Annual Meeting of the Association for Computational Linguistics (ACL 2014). vol. 1, Association for Computational Linguistics. pp. 1145-1154 . (doi:10.3115/v1/P14-1108).

Thuermer, Gefion, Roth, Silke, O'Hara, Kieron and Staab, Steffen (2017) Online participation in democratic processes: the case of the Green Party, Germany. ECPR General Conference, , Oslo, Norway. 06 - 09 Sep 2017. 22 pp .

Nikolopoulos, Spiros, Georgiadis, Kostas, Kalaganis, Fotis, Liaros, Georgios, Lazarou, Ioulietta, Adam, Katerina, Papazoglou-Chalikias, Anastasios, Chatzilari, Elisavet, Oikonomou, Vangelis, Petrantonakis, Panagiotis, Kompatsiaris, Ioannis, Kumar, Chandan, Menges, Raphael, Staab, Steffen, Müller, Daniel, Sengupta, Korok, Bostantjopoulou, Sevasti, Katsarou, Zoe, Zeilig, Gabi, Plotnik, Meir, Gotlieb, Amihai, Fountoukidou, Sofia, Ham, Jaap, Athanasiou, Dimitrios, Mariakaki, Agnes, Comandicci, Dario, Sabatini, Edoardo, Nistico, Walter and Plank, Markus (2017) A Multimodal dataset for authoring and editing multimedia content: The MAMEM project. Data in Brief. (doi:10.1016/j.dib.2017.10.072).

Janke, D., Staab, S. and Thimm, M. (2017) Koral: A glass box profiling system for individual components of distributed RDF stores. CEUR Workshop Proceedings, BLINK2017-NLIWo.

Janke, Daniel, Staab, Steffen and Thimm, Matthias (2018) Impact analysis of data placement strategies on query efforts in distributed RDF stores. Journal of Web Semantics, 50, 21-48. (doi:10.1016/j.websem.2018.02.002).

Nematbakhsh, Mohammadali, Teymourlouie, Mehdi, Zaeri, Ahmad, Thimm, Matthias and Staab, Steffen (2018) Detecting hidden errors in an ontology using contextual knowledge. Expert Systems with Applications, 95, 312-323. (doi:10.1016/j.eswa.2017.11.034).

Kügler, Patricia, Kestel, Philipp, Schon, Claudia, Marian, Max, Staab, Steffen and Wartzack, Sandro (2018) Ontology-based approach for the use of intentional forgetting in product development. 12 pp . (In Press)

Thuermer, Gefion, Roth, Silke, O'Hara, Kieron and Staab, Steffen (2018) Everybody thinks online participation is great – for somebody else: a qualitative and quantitative analysis of perceptions and expectations of online participation in the Green Party Germany. In WebSci '18 Proceedings of the 10th ACM Conference on Web Science. ACM Press. pp. 287-296 . (doi:10.1145/3201064.3201069).

Menges, Raphael, Tamimi, Hanadi, Kumar, Chandan, Walber, Tina, Schäfer, Christoph and Staab, Steffen (2018) Enhanced representation of web pages for usability analysis with eye tracking. ETRA 2018: 2018 ACM Symposium on Eye Tracking Research & Applications, , Warsaw, Poland. 14 - 17 Jun 2018. 9 pp . (In Press)

Ramadan, Qusai, Shayan Ahmadian, Amir, Strüber, Daniel, Jürjens, Jan and Staab, Steffen (2018) Model-based discrimination analysis: A position paper. In FairWare '18 Proceedings of the International Workshop on Software Fairness. ACM Press.. (doi:10.1145/3194770.3194775).

Schon, Claudia and Staab, Steffen (2018) Towards SPARQL instance-level Update in the Presence of OWL-DL TBoxes. Borgo, Stefano, Kutz, Oliver, Loebe, Frank and Neuhaus, Fabian (eds.) In Proceedings of the Joint Ontology Workshops 2017. vol. 2050, CEUR-WS.org..

Sun, Jun, Staab, Steffen and Karimi, Fariba (2018) Decay of relevance in exponentially growing networks. In WebSci '18 Proceedings of the 10th ACM Conference on Web Science. ACM Press. pp. 343-351 . (doi:10.1145/3201064.3201084).

Sun, Jun, Staab, Steffen and Kunegis, Jérôme (2018) Understanding social networks using transfer learning. Computer, 51 (6).

Schon, Claudia, Staab, Steffen, Kügler, Patricia, Schleich, Benjamin and Wartzack, Sandro (2018) Metaproperty-guided deletion from the instance-level of a knowledge base. In Knowledge Engineering and Knowledge Management. EKAW 2018. Springer.. (doi:10.1007/978-3-030-03667-6_26).

Sengupta, Korok, Ke, Min, Menges, Raphael, Kumar, Chandan and Staab, Steffen (2018) Hands-free web browsing: enriching the user experience with gaze and voice modality. In Proceedings - ETRA 2018: 2018 ACM Symposium on Eye Tracking Research and Applications. vol. Part F137344, ACM Press.. (doi:10.1145/3204493.3208338).

Janke, Daniel and Staab, Steffen (2018) Storing and querying semantic data in the cloud. In, d'Amato, Claudia and Theobald, Martin (eds.) Reasoning Web. Learning, Uncertainty, Streaming, and Scalability: 14th International Summer School 2018, Esch-sur-Alzette, Luxembourg, September 22–26, 2018, Tutorial Lectures. (HASH(0xd61bce0), 11078) Reasoning Web Summer School 2018 (22/09/18 - 27/09/18) Springer, pp. 173-222. (doi:10.1007/978-3-030-00338-8_7).

Janke, Daniel and Staab, Steffen (2018) Compressing and maintaining statistics information about resource occurrences in a distributed RDF store. CEUR Workshop Proceedings, 2180.

Seifer, Philipp, Leinberger, Martin, Lämmel, Ralf and Staab, Steffen (2019) Semantic query integration with reason. The Art, Science, and Engineering of Programming, 3 (3), 1-28. (doi:10.22152/programming-journal.org/2019/3/13).

Skubella, Adrian, Janke, Daniel and Staab, Steffen (2019) BeSEPPI: Semantic-based benchmarking of property path implementations. The Semantic Web - 15th International Conference, , Portoroz, Slovenia. 02 - 06 Jun 2019. pp. 475-490 . (doi:10.1007/978-3-030-21348-0_31).

Staab, Steffen (2019) Concepts in application context. Cristea, D., Le Ber, F. and Sertkaya, B. (eds.) In Formal Concept Analysis. ICFCA 2019. vol. 11511, Springer. pp. 45-52 . (doi:10.1007/978-3-030-21462-3_4).

Staab, Steffen, Halford, Susan and Hall, Wendy (2019) Web science in Europe: beyond boundaries. Communications of the ACM, 62 (4), 74-79. (doi:10.1145/3312569).

Sengupta, Korok, Menges, Raphael, Kumar, Chandan and Staab, Steffen (2019) Impact of variable positioning of text prediction in gaze-based text entry. COGAIN Symposium on Communication by Gaze Interaction, , Denver, CO, United States. 25 - 28 Jun 2019. (In Press)

Baris, Ipek, Schmelzeisen, Lukas and Staab, Steffen (2019) CLEARumor at SemEval-2019 Task 7: ConvoLving ELMo against rumors. 13th International Workshop on Semantic Evaluation, , Minneapolis, United States. 06 - 07 Jun 2019.

Ignatenko, Vera, Koltcov, Sergej, Staab, Steffen and Boukhers, Zeyd (2019) Fractal approach for determining the optimal number of topics in the field of topic modeling. Journal of Physics: Conference Series, 1163 (conference 1), 1-7, [012025]. (doi:10.1088/1742-6596/1163/1/012025).

Boukhers, Zeyd, Ambhore, Shriharsh and Staab, Steffen (2019) An end-to-end approach for extracting and segmenting high-variance references from PDF documents. ACM/IEEE Joint Conference on Digital Libraries, , Urbana-Champaign, Illinois, United States. 02 - 05 Jun 2019. pp. 186-195 . (doi:10.1109/JCDL.2019.00035).

Menges, Raphael, Kumar, Chandan and Staab, Steffen (2019) Improving user experience of eye tracking-based interaction: Introspecting and adapting interfaces. ACM Transactions on Computer-Human Interaction, 26 (6), 1-46, [37]. (doi:10.1145/3338844).

Leinberger, Martin, Seifer, Philipp, Schon, Claudia, Lämmel, Ralf and Staab, Steffen (2019) Type checking program code using SHACL. Ghidini, Chiara, Hartig, Olaf, Maleshkova, Maria, Svátek, Vojtěch, Cruz, Isabel, Hogan, Aidan, Song, Jie, Lefrançois, Maxime and Gandon, Fabien (eds.) In The Semantic Web – ISWC 2019. vol. 11778, Springer. 399 pp . (doi:10.1007/978-3-030-30793-6_23).

Lohr, Matthias, Hund, Jonathan, Jürjens, Jan and Staab, Steffen (2019) Ensuring genuineness for selectively disclosed confidential data using distributed ledgers: Applications to rail wayside monitoring. 2nd IEEE International Conference on Blockchain, , Atlanta, United States. 14 - 17 Jul 2019.

Kumar, Chandan, Akbari, Daniyal, Menges, Raphael, MacKenzie, Scott and Staab, Steffen (2019) TouchGazePath: Multimodal interaction with touch and gaze path for secure yet efficient PIN entry. In ICMI ’19, October 14-18, 2019, Suzhou, China. ACM Press. 8 pp . (doi:10.1145/3340555.3353734).

Kügler, Patricia, Schon, Claudia, Schleich, Benjamin, Staab, Steffen and Wartzack, Sandro (2019) Cascading forgetting in product development – challenges and evaluation. The 22nd International Conference on Engineering Design, , Delft, Netherlands. 05 - 08 Aug 2019. (In Press)

Seifer, Philipp, Härtel, Johannes, Leinberger, Martin, Lämmel, Ralf and Staab, Steffen (2019) Empirical study on the usage of graph query languages in open source Java projects. 12th ACM SIGPLAN International Conference on Software Language Engineering (SLE 2019), , Athens, Greece. 20 - 25 Oct 2019. 15 pp . (In Press)

Kumar, Chandan, Hedeshy, Ramin, MacKenzie, Scott and Staab, Steffen (2020) TAGSwipe: Touch Assisted Gaze Swipe for Text Entry. Proceedings of the 2020 CHI Conference on Human Factors in Computing Systems,, , Honululu, United States. 25 - 30 Apr 2020. 12 pp . (In Press)

Ramadan, Qusai, Strüber, Daniel, Salnitri, Mattia, Jürjens, Jan, Riediger, Volker and Staab, Steffen (2020) A semi-automated BPMN-based framework for detecting conflicts between security, data-minimization and fairness requirements. Software and Systems Modeling, 19 (5), 1191-1227. (doi:10.1007/s10270-020-00781-x).

Sengupta, Korok, Bhattarai, Sabin, Sarcar, Sayan, MacKenzie, Scott and Staab, Steffen (2020) Leveraging error correction in voice-based text entry by Talk-and-Gaze. Proceedings of the 2020 CHI Conference on Human Factors in Computing Systems,, , Honululu, United States. 25 - 30 Apr 2020. pp. 1-11 . (doi:10.1145/3313831.3376579).

Ntoutsi, Eirini, Fafalios, Pavlos, Gadiraju, Ujwal, Iosifidis, Vasileios, Nejdl, Wolfgang, Vidal, Maria-Esther, Ruggieri, Salvatore, Turini, Franco, Papadopoulos, Symeon, Krasanakis, Emmanouil, Kompatsiaris, Ioannis, Kinder-Kurlanda, Katharina, Wagner, Claudia, Karimi, Fariba, Fernández, Miriam, Alani, Harith, Berendt, Bettina, Krügel, Tina, Heinze, Christian, Broelemann, Klaus, Kasneci, Gjergji, Tiropanis, Thanassis and Staab, Steffen (2020) Bias in data‐driven artificial intelligence systems: An introductory survey. WIREs Data Mining and Knowledge Discovery, 10 (3), 1-14, [e1356]. (doi:10.1002/widm.1356).

Sun, Jun, Medo, Matus and Staab, Steffen (2020) Time-invariant degree growth in preferential attachment network models. Physical Review E, 101 (2), [022309]. (doi:10.1103/PhysRevE.101.022309).

Schneider, Rudolf, Oberhauser, Tom, Grundmann, Paul, Gers, Felix Alexander, Löser, Alexander and Staab, Steffen (2020) Is language modeling enough? Evaluating effective embedding combinations. Proceedings of the 12th International Conference on Language Resources and Evaluation, , Marseille, France. 11 - 16 May 2020. (In Press)

Hogan, Aidan, Blomqvist, Eva, Cochez, Michael, d'Amato, Claudia, de Melo, Gerard, Gutierrez, Claudio, Labra Gayo, Jose Emilio, Kirrane, Sabrina, Neumaier, Sebastian, Polleres, Axel, Navigli, Roberto, Ngonga Ngomo, Axel-Cyrille, Rashid, Sabbir M., Rula, Anisa, Schmelzeisen, Lukas, Sequeda, Juan, Staab, Steffen and Zimmermann, Antoine (2020) Knowledge graphs 131pp.

Koltsov, Sergei, Ignatenko, Vera, Boukhers, Zeyd and Staab, Steffen (2020) Analyzing the influence of hyper-parameters and regularizers of topic modeling in terms of Renyi entropy. Entropy, 22 (4), 394, [394]. (doi:10.3390/e22040394).

Janke, Daniel, Staab, Steffen and Leinberger, Martin (2020) Data placement strategies that speed-up distributed graph query processing. International Workshop on Semantic Big Data @ ACM SIGMOD 2020, , Portland, United States. 19 Jun 2020. (In Press)

Menges, Raphael, Kramer, Sophia, Hill, Stefan, Nisslmüller, Marius, Kumar, Chandan and Staab, Steffen (2020) A visualization tool for eye tracking data analysis in the web. Bulling, Andreas, Huckauf, Anke, Jain, Eakta, Radach, Ralph and Weiskopf, Daniel (eds.) In ETRA '20 Short Papers: ACM Symposium on Eye Tracking Research and Applications. ACM Press. pp. 1-5 . (doi:10.1145/3379156.3391831).

Rienstra, Tjitze, Schon, Claudia and Staab, Steffen (2020) Concept contraction in the description logic EL. Principles of Knowledge Representation and Reasoning: Proceedings of the Seventeenth International Conference, KR 2020, , Rhodes, Greece. 12 - 18 Sep 2020. (In Press)

Homburg, Timo, Staab, Steffen and Janke, Daniel (2020) GeoSPARQL+: Syntax, semantics and system for integrated querying of graph, raster and vector data. 19th International Semantic Web Conference, Virtual Event, Athens, Greece. 02 - 06 Nov 2020. (In Press)

Leinberger, Martin, Seifer, Philipp, Rienstra, Tjitze, Lämmel, Ralf and Staab, Steffen (2020) Deciding SHACL shape containment through description logics reasoning. 19th International Semantic Web Conference, Virtual Event, Athens, Greece. 02 - 06 Nov 2020. (In Press)

Lohr, Matthias, Schlosser, Benjamin, Jürjens, Jan and Staab, Steffen (2020) Cost fairness for blockchain-based two-party exchange protocols. 3rd IEEE International Conference on Blockchain., Virtual Event, Rhodes, Greece. 02 - 06 Nov 2020. 8 pp . (In Press)

Hogan, Aidan, Blomqvist, Eva, Cochez, Michael, d'Amato, Claudia, de Melo, Gerard, Gutierrez, Claudio, Kirrane, Sabrina, Labra Gayo, Jose Emilio, Navigli, Roberto, Neumaier, Sebastian, Ngonga Ngomo, Axel-Cyrille, Polleres, Axel, Rashid, Sabbir M., Rula, Anisa, Schmelzeisen, Lukas, Sequeda, Juan, Staab, Steffen and Zimmermann, Antoine (2021) Knowledge graphs. ACM Computing Surveys. (In Press)

Hedeshy, Ramin, Kumar, Chandan, Menges, Raphael and Staab, Steffen (2021) Hummer: Text entry by Gaze and Hum. ACM Conference on Human Factors in Computing Systems, Online Virtual Conference (originally Yokohama, Japan), Yokohama, Japan. 08 - 13 May 2021. (In Press)

Staab, Steffen, Boukhers, Zeyd and Wete, Danniene (2021) LaHAR: Latent Human Activity Recognition using LDA. The AAAI 2021 Workshop on Plan, Activity, and Intent Recognition., , Virtual.

Sun, Peng Gang, Miao, Qiguang and Staab, Steffen (2021) Community-based k-shell decomposition for identifying influential spreaders. Pattern Recognition, 120, [108130]. (doi:10.1016/j.patcog.2021.108130).

Seifer, Philipp, Lämmel, Ralf and Staab, Steffen (2021) ProGS: Property Graph Shapes Language. 20th International Semantic Web Conference, Virtual Event. 24 - 28 Oct 2021. 17 pp . (In Press)

Lohr, Matthias, Skiba, Kenneth, Konersmann, Marco, Jürjens, Jan and Staab, Steffen (2022) Formalizing cost fairness for two-party exchange protocols using game theory and applications to blockchain. 5th IEEE International Conference on Blockchain and Cryptocurrency, , Shanghai, China. 02 - 05 May 2022. p. 5 . (In Press)

Xiong, Bo, Zhu, Shichao, Nayyeri, Mojtaba, Xu, Changjin, Pan, Shirui, Zhou, Chuan and Staab, Steffen (2022) Ultrahyperbolic knowledge graph embeddings. 28th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, , East Lansing, MI, United States. 14 - 18 Aug 2022. (In Press)

Boutalbi, Rafika, Ait-Saada, Mira, Iurshina, Anastasiia, Staab, Steffen and Nadif, Mohamed (2022) Tensor-based graph modularity for text data clustering. 45th International ACM SIGIR Conference on Research and Development in Information Retrieval, , Madrid, Spain. 11 - 15 Jul 2022. (In Press)

Contact

Share this profile FacebookTwitterWeibo

Pages